CN1518076A - 用于沉积含硅薄膜的前体及其方法 - Google Patents

用于沉积含硅薄膜的前体及其方法 Download PDF

Info

Publication number
CN1518076A
CN1518076A CNA2004100029839A CN200410002983A CN1518076A CN 1518076 A CN1518076 A CN 1518076A CN A2004100029839 A CNA2004100029839 A CN A2004100029839A CN 200410002983 A CN200410002983 A CN 200410002983A CN 1518076 A CN1518076 A CN 1518076A
Authority
CN
China
Prior art keywords
diazanyl
silane
dimethyl
dimethyl diazanyl
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100029839A
Other languages
English (en)
Inventor
�Ф
肖满超
���沮��
A·K·霍奇伯格
K·S·库西尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN1518076A publication Critical patent/CN1518076A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

使用下式的肼基硅烷作为氮化硅、氧化硅和氮氧化硅在基材上的硅电介质沉积的前体的方法:[R1 2N-NH]nSi(R2)4-n其中各R1独立选自C1-C6的烷基;各R2独立选自氢,烷基,乙烯基,烯丙基,和苯基;和n=1-4。这些肼基硅烷的一些是新型前体。

Description

用于沉积含硅薄膜的前体及其方法 相关申请的相互参照
本申请要求2003年1月23日提出的U.S.临时申请No.60/442,183的权益。
技术领域
本发明涉及用于氮化硅(silicon nitride)、氧化硅(siliconoxide)和氮氧化硅的化学蒸汽沉积的一类新型前体。尤其,本发明涉及肼基硅烷的合成及其作为低温CVD前体用于制造集成电路器件的硅电介质膜的应用。这些前体还可以用于原子层沉积,等离子体增强的化学蒸汽沉积,以及大气压化学蒸汽沉积。
背景技术
含硅介电沉积在制造集成电路上具有重要作用。氮化硅能够在半导体器件上用作扩散隔膜,用作栅极绝缘(gate insulator),用于沟槽隔离,以及用作电容器电介质。低温CVD方法是被制造氮化硅膜的半导体工业所广泛接受的方法。
在半导体器件的制造中,化学惰性介电材料如氮化硅(Si3N4)的薄钝态层(passive layer)是必需的。氮化硅的薄层起扩散掩蔽,氧化阻隔层,具有高介电击穿电压的金属间化合介电材料和钝化层的作用。氮化物膜用作MOS器件中的侧壁隔片,以及与氧化物和氮氧化物一起可用作IV和II-V类晶体管的栅极电介质。在其它地方报道了含硅电介质在制造半导体器件中的许多其它应用,参阅Semiconductorand Process technology handbook,由Gary E.McGuire编写,Noyers Publication,New Jersey,(1988),289-301页;和SiliconProcessing for the VLSI ERA,Wolf,Stanley,and Talbert,Richard,N.,Lattice Press,Sunset Beach,California(1990),20-22,327-330页。
现半导体工业标准氮化硅生长方法是使用二氯硅烷和氨在>750℃的热壁反应器中的低压化学蒸汽沉积。
氮化硅在大量硅晶片上的沉积使用许多前体来完成。使用二氯硅烷和氨的低压化学蒸汽沉积(LPCVD)需要高于750℃的沉积温度,以获得适当的生长速率和均匀性。一般使用较高的沉积温度来获得最佳膜性能。在这些方法中具有几个缺陷,这些缺陷的一些如下所示:
i)硅烷和二氯硅烷是自燃、毒性压缩气体;和
ii)二氯硅烷的膜具有污染物,如氯和氯化铵,它们作为副产物形成。
几类化学品用作氮化硅膜沉积的前体。属于它们之列的是硅烷,氯硅烷,聚硅氮烷,氨基硅烷,和叠氮基硅烷。
日本专利6-132284描述了使用具有通式(R1R2N)nSiH4-n(其中R1和R2为H-,CH3-,C2H5-,C3H7-,异-C4H9-)的有机硅烷通过在氨或氮的存在下的等离子体增强的化学蒸汽沉积法和热化学蒸汽沉积法来沉积氮化硅的方法。这里所述的前体是叔胺,且不含NH键,如在本发明的情况中那样。沉积实验在单晶片反应器(single wafer reactor)中在400℃和80-100托(Torr)的高压下进行。在这些膜中的Si∶N比率是0.9(在Si3N4膜中的Si∶N比率是0.75),在沉积膜中含有氢。
Sorita等人,J.Electro.Chem.Soc.,Vol 141,No.12,(1994),3505-3511页描述了使用二氯硅烷和氨用LPCVD方法沉积氮化硅的方法。在该方法中的主产物是氨基氯硅烷、氮化硅和氯化铵。氯化铵的形成是使用含Si-Cl的前体的主要缺陷。氯化铵的形成导致了颗粒形成和氯化铵在管的尾端以及管道和泵抽系统内的沉积。在前体中含有氯的方法导致了NH4Cl形成。这些方法需要常常清洗和导致了长的反应器停工时间。
B.A.Scott,J.M.Martnez-Duart,D.B.Beach,T.N.Nguyen,R.D.Estes和R.G.Schad.,Chemtronics,1989,Vol 4,230-234页报道了通过LPCVD在250-400℃的温度范围内使用硅烷和氨沉积氮化硅的方法。硅烷是自燃气体,由于部分气相反应,对于清洁的氮化硅沉积难以控制。
J.M.Grow,R.A.Levy,X.Fan和M.Bhaskaran,MaterialsLetters,23,(1995),187-193页描述了通过LPCVD方法在600-700℃的温度范围内使用二叔丁基硅烷和氨沉积氮化硅的方法。沉积的氮化硅膜被碳杂质污染(10原子%)。这主要归因于直接Si-C键在前体中的存在。
W-C.Yeh,R.Ishihara,S.Moishita以及M.Matsumura,Japan.,J.Appl.Phys.,35,(1996),1509-1512页描述了使用六氯二硅烷和肼在接近350℃下的硅-氮膜的低温沉积。该膜在空气中不稳定和缓慢转化为硅-氧膜。
A.K.Hoc hberg和D.L.O’Meara,Mat.Res.Soc.Symp.Proc.,Vol.204(1991),509-514页报道了氮化硅和氮氧化硅通过使用二乙基硅烷与氨和一氧化氮通过LPCVD沉积的方法。沉积在650-750℃的温度范围内进行。该沉积限于在650℃下的沉积和沉积速率在较低的温度下降低到4/min以下。在LPCVD方法中,含有直接Si-C碳键的前体导致了在膜中的碳污染。无碳沉积需要高于5∶1的NH3:前体比率。在较低的氨浓度下,发现膜含有碳。二乙基硅烷和氨一般需要覆盖舟皿(covered boat)或温度斜线上升,以改进在整个晶片上的均匀性。
US专利5,234,869和R.G.Gordon和D.M.Hoffman,Chem.Mater.,Vol.2(1990),482-484页公开了减少包括碳的氨基硅烷,如四(二甲基胺基)硅烷的量的其它尝试。沉积的温度是在300-1000℃的范围内,压力为1毫托至10托。存在直接Si-N键和不存在Si-C键预期使膜中的碳浓度较低。然而,这类前体具有以下三个主要缺点:
1)它们含有N-甲基,甲基往往容易迁移到硅表面和在CVD方法中膜被碳污染。为了减少碳的量,该方法使用高温(>700)和高氨比率(>10∶1)。在氨比率增加的情况下,沉积速度由于反应剂贫乏而急剧降低。
2)它们不含有NH键和它们不包括仲硅烷。
3)在较低的温度下,沉积速率和均匀性是非常差的(>5%)。
U.S.专利5,874,368描述了我们先前的工作,使用双(叔丁基胺基)硅烷(“BTBAS”)将氮化物沉积温度减低到550℃以下。该温度对于在镀金属的电路和许多III-V和II-VI类器件上的沉积仍然太高。另外,该前体具有高活化能,这使该方法具有高度温度敏感性。
半导体器件微型化和低热平衡的趋势需要较低的工艺温度和较高的沉积速率。涉及典型前体如BTBAS的方法要求至少550℃的加工温度。氯硅烷需要甚至更高的温度。
氨作为氮源在氮化硅CVD中具有重要的作用。肼及其衍生物已作为硅表面氮化(nitradation)的试剂使用[6-10],还作为氮源替换氨用来与氯硅烷反应[11-13]。
虽然几十年以前首先合成了肼基硅烷[1-2],但在该领域中进行的研究工作非常少。近年来,一些研究者对环肼基硅烷的化学产生了兴趣[3-5]。然而,一般,那些环肼基硅烷具有高分子量和因此高沸点。对于CVD应用,高沸点或低蒸汽压是不优选的。没有公开过肼基硅烷的含硅的介电应用,尤其对于开链肼基硅烷。
肼基硅烷的不寻常的反应活性一般归因于N-N键的弱键合力和因此它被均裂的事实。
在1,1-二甲基肼(246.9kJ/mol)中的N-N键的键能比在Me3SiH中的Si-H键(377.8kJ/mol)、在s-Bu-SiMe3中的Si-C键(414kJ/mol),和在叔丁基胺中的N-C键(362kJ/mol)的键能低得多[15]
发明内容
本发明通过使用仅用于形成在低热条件下(在400℃以下)生长,碳污染减少以及具有低氢污染的含硅电介质膜的一组前体而已经克服了现有技术的问题。另外,该前体具有非常低的活化能,这使加工的温度敏感性降低,避免了氯污染和在如在下面更详细描述的工业分批式炉(batch furnace)或单晶片反应器中在宽压力范围内(10-5托-760托)操作。
本发明是使用下式的肼基硅烷在基材上进行氮化硅的低压化学蒸汽沉积的方法:
[R1 2N-NH]nSi(R2)4-n
其中各R1独立选自C1-C6烷基;各R2独立选自氢,烷基(C1-C6),乙烯基,烯丙基,和苯基;和n=1-4。
附图说明
图1是许多氮化硅前体,包括BTBAS(“双(叔丁基胺基)硅烷”)的沉积速率与温度倒数的关系曲线图。
图2是折光指数同氨与双(二甲基肼基)乙基硅烷的比率的关系曲线图。
图3A是各种氨基硅烷的沉积速率与计算能量(-110kcal/mol到-40kcal/mol)的关系曲线图。
图3B是各种氨基硅烷的沉积速率与计算能量(-300kcal/mol到300kcal/mol)的关系曲线图。
图4A是各种肼基硅烷的沉积速率与计算能量(-35kcal/mol到-5kcal/mol)的关系曲线图。
图4B是各种肼基硅烷的沉积速率与计算能量(50kcal/mol到-300kcal/mol)的关系曲线图。
图5是使用双(二甲基胺基)乙基硅烷的等离子体增强的化学蒸汽沉积的折光指数与RF功率的关系曲线图。
图6是使用双(二甲基胺基)乙基硅烷的单层沉积的Arrhenius曲线图。
在超大规模集成电路(VLSI)器件的制造中使用大量的“薄膜”。这些沉积薄膜能够是金属、半导体或绝缘体。这些薄膜可以热生长或由使用LPCVD的气相沉积。对于制造微处理器和随机存取存储器器件的各种应用,VLSI技术需要非常薄的绝缘体。二氧化硅主要用作介电材料,因为它易于沉积和具有在SiO2/Si界面的优异性能。与二氧化硅相比,氮化硅具有其它优点,这些优点的一些包括耐杂质和掺杂剂抗扩散阻隔,高介电击穿电压,优异的机械性能和Si3N4固有的惰性。氮氧化硅在某些应用中具有改进的电特性。
在VLSI制造中,需要满足一大堆的严格的化学、结构、工艺和电方面的要求。膜的纯度、厚度、均匀性和沉积速率是有利于制造器件中的亚微(submicron)特征的严格控制参数中的一些。如果沉积方法能够在低于850℃的温度下进行的话,这是器件制造和性能上的主要优点。用于在这些温度下在LPCVD条件下沉积氮化硅的硅源材料局限于硅烷和二氯硅烷。安全、可靠的低温氮化硅源材料具有在其它技术如:平板显示器件,其它电子和非电子基材或复合半导体器件制造中的应用。
本发明确定,肼基硅烷的挥发性足够作为CVD前体使用,它们能够在相对低的温度下形成含硅电介质膜。它的沉积速率显著高于氨基硅烷的沉积速率。另外,由于温度变化由肼基硅烷对氮化硅膜沉积速率的影响比氨基硅烷的小得多。该特征改进了膜均匀性,当在整个晶片上具有温度变化时。
能够使用本发明的肼基硅烷的方法包括,通过化学蒸汽沉积法(CVD)、等离子体增强的化学蒸汽沉积法(PECVD)、低压化学蒸汽沉积法(LPCVD)和原子层沉积法(ALD)沉积选自氧化硅、氮氧化硅和氮化硅中的材料的方法。
本发明包括使用用下式表示的肼基硅烷类的氮化硅,氧化硅或氮氧化硅沉积方法:
[R1 2N-NH]nSi(R2)4-n
其中各R1独立选自C1-C6的烷基;各R2独立选自氢,烷基,乙烯基,烯丙基,和苯基;和n=1-4。
优选,各R1独立选自甲基和乙基,各R2独立选自氢,甲基,乙基,丙基,异丙基,正丁基,异丁基,叔丁基,烯丙基和苯基。
优选,肼基硅烷与选自氮、氨、肼和它们的混合物的氮源反应,以用于氮化硅沉积。
虽然对于氮化硅膜前体,许多肼基硅烷是可接受的,但下表1举例说明了设想的肼基硅烷:
                        表1
                  示例性肼基硅烷
Figure A20041000298300101
适合的肼基硅烷包括:(A)双(1,1-二甲基肼基)甲基硅烷,(B)三(1,1-二甲基肼基)硅烷,(C)三(1,1-二甲基肼基)叔丁基硅烷,(D)三(1,1-二甲基肼基)仲丁基硅烷,(E)三(1,1-二甲基肼基)乙基硅烷,(F)双(1,1-二甲基肼基)乙基硅烷,(G)双(1,1-二甲基肼基)异丙基硅烷,(H)双(1,1-二甲基肼基)烯丙基硅烷,(I)双(1,1-二甲基肼基)硅烷,(Y)四(1,1-二甲基肼基)硅烷,N,N′,N″-三(二甲基胺基)环三硅氮烷,N,N′,N″,N-四(二甲基胺基)环三硅氮烷,三(1,1-二甲基肼基)异丙基硅烷,和三(1,1-二甲基肼基)烯丙基硅烷。较低分子量肼基硅烷是优选的,非环状肼基硅烷比环状肼基硅烷优选。这些化合物通过相应氯硅烷与二烷基肼使用有机基胺,如三乙胺作为碱的反应来合成。
在半导体基材上的氮化硅膜由这些化合物在化学蒸汽沉积方法在100-800℃的温度范围和压力为10-5-760托下产生。所形成的薄膜在沟槽内的阶梯覆盖(step coverage)和晶片的厚度均匀性上是优异的。
由于分子的富氮特性,氮化硅膜能够用或不用引入氨或其它添加剂来形成。
沉积膜具有优异的均匀性,其不含氯化铵和氯污染物。肼基硅烷具有通过LPVCD于明显在二氯硅烷和氨方法的温度以下的温度沉积氮化硅的性能。
肼基硅烷的显著优点可以归因于在前体中的配体的固有性能。在这些前体的热解过程中,这些配体可以易于作为挥发性产物消除。肼基硅烷的其它优点能够总结如下:
1)它们是非自燃挥发性稳定液体或低熔点挥发性固体。
2)它们在分子中没有任何氯。在二氯硅烷中的Si-Cl键导致了氯化铵的形成,它们沉积在管的后端或单晶片反应器的壁上,需要经常清洗。
3)所得氮化硅膜是相对无碳的,如由RutherfordBackscattering Spectrometry所示。
4)肼基硅烷获得了优异的沉积均匀性。
5)当与其它可获得的前体,如具有二胺基,二甲基胺基和其它烷基胺的配体的硅烷比较时,使用这些前体的沉积温度能够减低150-300℃。
其它前体沉积温度,前体和膜性能的比较在表2中给出。
表2
前体 蒸汽压(托@℃) 沉积温度(℃) 前体和膜性能
SiH4+NH3 气体,环境温度 200-400等离子体方法 自燃气体,气相反应,在低温下富含硅,膜可能含有氢。
Cl2SiH2+NH3 气体,环境温度 >750 腐蚀性气体,直接Si-Cl键,氯污染,氯化铵副产物
(C2H5)2SiH2+NH3 100托,20℃ 650-725 均匀性差,直接Si-C键,直接Si-Cl键,膜中的碳杂质>2%。可以需要笼形舟皿和温度斜线上升
(t-C4H9)2SiH2+NH3 20.5托,20℃ 600-700 直接Si-C键,膜中碳含量(10%)
[(CH3)2N]3SiR+NH3R=H或CH3 16托,25℃ 700-1000 直接Si-C键,膜中的碳含量>2%和需要高氨与源比率(30∶1)。>5%的不良均匀性
(t-C4H9NH)2SiH2 7.5托,45℃ 500-1000 无Si-C键,无碳污染,良好的均匀性和高沉积速率
肼基硅烷 25托,46℃到1托,56℃ 100-800 良好的均匀性,很少或无碳,非常高的沉积速率
下表3列举了在本发明的开发过程中调查研究的化合物,包括用作SiNxOy膜的前体的合成化合物,另外只考虑用于本发明的那些化合物。
表3
为了形成氮化硅薄膜,让肼基硅烷和任选的氨(或氮或肼)在反应管中在升温(优选100-800℃,但温度能够低于或高于该范围)下反应。反应可以在表面上或非常接近晶片表面的地方发生,以沉积氮化硅薄膜。如果反应在气相(均相反应)中发生,那么形成了氮化硅簇。这种情况在硅烷和氨方法中是典型的。当反应在晶片表面上发生时,那么所得膜具有优异的均匀性。因此,CVD应用的一个重要要求是多相反应比气相反应有利的程度。
CVD方法能够分类为a)气相方法和b)表面反应方法。气相现象与气体在基材上撞击的速度有关。这通过气体穿过分离流动气体的松散区域和基材表面的边界层的速度来模拟。这种传送过程通过气相扩散来发生,它与气体的扩散率和边界层的浓度梯度成正比。当气体达到热表面时,几种表面方法可能是重要的,但表面反应一般能够通过热活化现象来模拟,它以在属于频率系数、活化能和温度的函数的速率下进行。
表面反应速率随温度的增加而增加。对于既定表面反应,温度可以升到足够高,使得反应速率超过反应物质到达表面的速率。在这种情况下,反应进行的速度至多也不能快于反应剂气体通过质量传递供给基材的速度。这被称为质量传递限制沉积方法。在低温下,表面反应速率降低,以及最后反应剂的浓度超过它们被表面反应方法消耗的速率。在这种条件下,沉积速率受反应速率限制。因此,在高温下,沉积通常受质量传递限制,而在低温下,它受表面反应速率限制。在实际的方法中,沉积条件从这些生长状态之一发展到另一种状态的温度取决于反应的活化能,以及反应器中的气体流动条件。因此,难以推断工艺条件或结果从一种压力状况或温度状况到另一种压力状况或温度状况。
在反应速度限制的条件下进行的方法中,该方法的温度是重要参数。即,在整个反应器中的均匀的沉积速率要求保持恒定反应速率的条件。这进而暗示,在所有晶片表面的各个地方必须存在恒定的温度。另一方面,在这些条件下,反应剂到达表面的速率不是重要的,因为它们的浓度不限制生长速率。因此,它不如将反应器设计成以等通量的反应剂供给晶片表面的所有部位那样重要。应该理解的是,在LPCVD反应器中,晶片能够以非常接近的间距堆叠,因为这种系统以反应速率限制的模式操作。其中的原因如下所示:在~1托的LPCVD反应器低压下,气体物质的扩散率比在大气压下增加1000倍,这仅仅部分通过边界层,即反应剂必须扩散的距离增加低于压力的平方根的事实来抵消。净效应是反应器传送到基材表面上和副产物离开基材表面的速度增加一个以上的数量级,以及速率限制步骤因此是表面反应。
低压化学蒸汽沉积方法(LPCVD)包括使其在压力为10-5托到760托下的化学反应。该化学蒸汽沉积(CVD)方法能够在既定温度、压力和反应剂比率下按以下步骤顺序描述:
1)将反应剂引入到反应室中,如果需要,快于用惰性气体稀释;
2)让反应剂扩散到基材上;
3)反应剂吸附在基材上,以及吸附的分子进行迁移;和
4)在表面上发生化学反应,以及反应的气体副产物被吸附,留下沉积薄膜。反应通过几种方法来引发;例如热或光子。在LPCVD方法中使用热能。
水平管热壁反应器最广泛用于制造VLSI的LPCVD。它们用于沉积聚硅(Poly-Si)、氮化硅,未掺杂和掺杂二氧化硅膜。广泛使用这些反应器,因为它们是经济的,具有高产量,它们的沉积膜是均匀的和它们能够容纳大直径晶片(6″-12″)。它们的主要缺点是颗粒污染和低沉积速率的倾向性。
垂直管热壁反应器现在代替水平反应器而用于200mm和200mm以上直径晶片的许多方法。几种其它类型的反应器用喷射方法使用,以避免晶片-晶片反应器消耗效应。它们不需要温度斜线上升,产生了高度均匀的沉积和据报道获得了低颗粒污染。
为了诱发反应器中的低压条件,需要适当的真空系统。适当的真空系统可以由转动叶片泵/roots鼓风机组合和各种冷阱组成。反应器压力通过电容气压计反馈到减压阀控制器来控制。我们的测量反应器载量由在标准扩散舟皿中以9mm间距排列的八十个100mm直径硅片组成。将舟皿安置在滑轨(sled)上,使得晶片中心稍高于反应管中心。这样通过补偿由舟皿和滑轨引起的电导限制而在晶片周围产生了均匀的电导。通过内置多结点热电偶(internal multi-junctionthermocouple)来测量在整个晶片装料(wafer load)上的温度均匀性。通过温度斜线上升改进了在晶片负载以下的沉积均匀性。气体和蒸汽由加料口(load door)或通过喷射器供给。
肼基硅烷是非自燃挥发性液体或低熔点挥发性固体,处理起来比硅烷和二氯硅烷更安全。该沉积方法在优选10-5托到760托下在优选100℃到800℃的温度范围内使用来自肼基硅烷和任选的氨的蒸汽来进行。任选地,能够使用惰性气体稀释剂如氮或氩来稀释和控制反应的速率。使用氨与肼基硅烷的摩尔进料比,来改变膜性能,我们使用0到超过100∶1氨与肼基硅烷。
具体实施方式
实施例1:双(1,1-二甲基肼基)甲基硅烷的合成
在装有两个添加漏斗,冷凝器和机械搅拌器的2000ml三颈圆底烧瓶中,添加500m l的己烷和303g的三乙基胺。在用冰浴冷却和氮气氛围保护的同时,以大约相等的速率通过两个添加漏斗加入115g甲基二氯硅烷在100ml己烷中的溶液和150g 1,1-二甲基肼在50ml己烷中的溶液。然后将反应混合物升至室温,并搅拌过夜。在氮气氛围下,过滤反应混合物和用己烷洗涤固体胺盐。通过真空蒸馏除去溶剂和过量胺。真空蒸馏获得了125g的双(1,1-二甲基肼基)甲基硅烷,沸点45℃/22托。
实施例2:双(1,1-二甲基肼基)乙基硅烷的合成
在装有两个添加漏斗,冷凝器和机械搅拌器的5000ml三颈圆底烧瓶中,添加1500ml的己烷和450g的三乙基胺。在用冰浴冷却和氮气氛围保护的同时,以大约相等的速率通过两个添加漏斗加入200g乙基三氯硅烷在500ml己烷中的溶液和196g 1,1-二甲基肼。然后将反应混合物升至室温,并搅拌过夜。在氮气氛围下,过滤反应混合物和用己烷洗涤固体胺盐。通过真空蒸馏除去溶剂和过量胺。真空蒸馏获得了154g的双(1,1-二甲基肼基)乙基硅烷,沸点40℃/10托。
实施例3:三(1,1-二甲基肼基)-叔丁基硅烷的合成
在装有两个添加漏斗,冷凝器和机械搅拌器的2000ml三颈圆底烧瓶中,添加800ml的己烷和125g的三乙基胺。在用冰浴冷却和氮气氛围保护的同时,以大约相等的速率通过两个添加漏斗加入48g叔丁基三氯硅烷在100ml己烷中的溶液和50g 1,1-二甲基肼在100ml己烷中的溶液。然后将反应混合物升至室温,并搅拌过夜。在氮气氛围下,过滤反应混合物和用己烷洗涤固体胺盐。通过真空蒸馏除去溶剂和过量胺。真空蒸馏获得了30g的三(1,1-二甲基肼基)叔丁基硅烷,沸点56℃/2托。1H NMR 1.19(s,9H),2.34(s,18H)。
实施例4:三(1,1-二甲基肼基)-异丁基硅烷的合成
在装有两个添加漏斗,冷凝器和机械搅拌器的5000ml三颈圆底烧瓶中,添加1500ml的己烷和400g的三乙基胺。在用冰浴冷却和氮气氛围保护的同时,以大约相等的速率通过两个添加漏斗加入200g异丁基三氯硅烷在200ml己烷中的溶液和240g1,1-二甲基肼。然后将反应混合物升至室温,并搅拌过夜。在氮气氛围下,过滤反应混合物和用己烷洗涤固体胺盐。通过真空蒸馏除去溶剂和过量胺。真空蒸馏获得了170g的三(1,1-二甲基肼基)异丁基硅烷,沸点61℃/2托。
实施例5:三(1,1-二甲基肼基)乙基硅烷的合成
在装有两个添加漏斗,冷凝器和机械搅拌器的5000ml三颈圆底烧瓶中,添加2000ml的己烷和500g的三乙基胺。在用冰浴冷却和氮气氛围保护的同时,以大约相等的速率通过两个添加漏斗加入190g乙基三氯硅烷在100ml己烷中的溶液和290g 1,1-二甲基肼。然后将反应混合物升至室温,并搅拌过夜。在氮气氛围下,过滤反应混合物和用己烷洗涤固体胺盐。通过真空蒸馏除去溶剂和过量胺。真空蒸馏获得了153g的三(1,1-二甲基肼基)乙基硅烷,沸点51℃/2托。
实施例6:四(1,1-二甲基肼基)硅烷的合成
在装有两个添加漏斗,冷凝器和机械搅拌器的5000ml三颈圆底烧瓶中,添加2000ml的己烷和600g的1,1-二甲基肼。在用干冰浴冷却和氮气氛围保护的同时,缓慢添加170g四氯化硅在350ml己烷中的溶液。然后将反应混合物升至室温,并搅拌过夜。在氮气氛围下,过滤反应混合物和用己烷洗涤固体盐。在减压下部分除去溶剂,以及将溶液浓缩到大约1L的容积。一旦一些固体开始从溶液中沉淀出来,将溶液放入冰箱中。过滤固体产物,在真空下干燥。获得了185g的四(1,1-二甲基肼基)硅烷,熔点63℃。
实施例7:三(二甲基肼基)叔丁基硅烷和氨的LPCVD
本方法包括三(二甲基肼基)叔丁基硅烷(TDMHtBS)的热解或该前体与氨在LPCVD条件下的反应(20毫托到2托的低压范围)。经位于入口的注射器将前体和氨(或氮稀释剂)引入到加热反应器(200-800℃)。让反应剂经过晶片的上面流入到真空室中,氨(或氮)与硅源保持在1∶1到10∶1范围内的比率下。氮化硅的连续薄膜沉积在硅片的表面上。这些薄膜适合于集成电路制造。在150mm热壁LPCVD水平管反应器中进行典型试验,但装置构型不是关键的。该方法包括在石英反应器中装载75-100硅片;将该系统抽真空;让硅片达到进行沉积的所需温度。该反应所需的能量能够通过简单的电阻加热来供给。这是有利的,因为设备是廉价的,并且避免了常常与等离子体反应器相关的辐射膜损坏。
典型试验在500℃和400毫托下流出30sccm的TDMHtBS与60sccmNH3。在80件硅片上的平均沉积速率是11.5/min。膜通过红外光谱法和折光指数来表征。FT-IR光谱与由其它已知氮化物前体,例如二氯硅烷+氨沉积的氮化硅膜一致。具有在2100cm-1的Si-H伸展区域中的中等吸收谱带和在870cm-1的强Si-N伸展谱带。然而,这些膜在低温和低氨比率下有些多孔,所以在从反应器取出后具有一些氧化。这些膜的折光指数通过椭圆光度法在632.4nm下测量,折光指数由于多孔隙从>1.7降低到大约1.6。氮化硅膜通过Rutherford Backscattering分析来表征。测定这些膜的硅、碳、氮和氧含量。在沉积之后的氮化硅的组成是大约1∶1.1硅比氮。这些膜的组成在整个膜的厚度内是均匀的。碳处于检测极限(1-2原子%),但大多数是来自空气污染。
实施例8:三(二甲基肼基)乙基硅烷和氨的LPCV D
与实施例7相似,本方法涉及三(二甲基肼基)乙基硅烷(TDMHES)的热解或其与氨在LPCVD条件下(20毫托到2托的低压范围)的反应。典型试验在500℃和400毫托下流出30sccm的TDMHES与60sccm NH3。在80件硅片上的平均沉积速率是20/min。RBS分析显示这些膜富含硅,获取MEMS应用的低应力氮化物的先决条件。
实施例9:双(二甲基肼基)甲基硅烷和氨的LPCVD
与实施例7相似,本方法涉及双(二甲基肼基)甲基硅烷(BDMHMS)的热解或其与氨在LPCVD条件下(20毫托到2托的低压范围)的反应。典型试验在500℃和500毫托下流出70sccm的BDMHMS与120sccmN2。在80件硅片上的平均沉积速率是8.5/min。FTIR分析显示这些膜富含氮且密度低,具有在870cm-1下的Si-N伸展带。
实施例10:双(二甲基肼基)乙基硅烷和氨的LPCVD
与实施例7相似,本方法涉及双(二甲基肼基)乙基硅烷(BDMHES)的热解或其与氨在LPCVD条件下(20毫托到2托的低压范围)的反应。典型试验在500℃和400毫托下流出34sccm的BDMHES与60sccmNH3。在80件硅片上的平均沉积速率是11.5/min。RBS分析显示这些膜是氮化硅。椭圆光度法显示这些沉积物具有低到中密度,具有明显不随时间改变的折光指数1.75。在图1中示出了其它沉积速率/温度倒数关系曲线,在图中,它们与使用氨基硅烷的沉积结果比较。
实施例11:双(二甲基肼基)乙基硅烷和氨的PECVD
本方法涉及使用双(二甲基肼基)乙基硅烷(BDMHES)和氨在单晶片等离子体反应器中的等离子体增强的化学蒸汽沉积。典型试验在420℃和1000毫托下流出7sccm的BDMHES与300sccm NH3。对于2.2watt/cm2的平均RF功率,平均沉积速率是85/min和折光指数为1.92。FTIR显示,这些沉积物具有高密度与在860cm-1下的Si-N伸展带。关于在370℃和420℃的标称温度下的沉积的其它数据在图5中给出。
实施例12:双(二甲基肼基)乙基硅烷和氨的LPCVD
本方法涉及使用双(二甲基肼基)乙基硅烷(BDMHES)和氨的在单晶片反应器中的低压化学蒸汽沉积。典型试验在370℃和2000毫托下流出5.5sccm的BDMHES与11sccm NH3。对于2.2watt/cm2的平均RF功率,平均沉积速率是15/min和折光指数为1.73。FTIR显示,这些沉积物具有中到低密度与在872cm-1下的Si-N伸展带。
该化合物(“F”)在单晶片反应器中在2托和8托加工压力下的其它沉积数据在图6中给出。
已经就几个实施方案描述了本发明,然而,本发明的完全范围应该由下面的权利要求书来确定。
参考文献
[1]Sergeeva,Z.等人,“Synthesis of alkyl-anddialkylbis(1,1-dialkylhydrazino)Silanes”,J.GeneralChemistry of the USSR,1960,30,716-719
[2]Sergeeva,Z.,Tszyan-Ian,S.,“Reaction ofUnsymmetrical Dialkylhydrazines withAlkylchlorohydrosilanes”,J.General Chemistry of the USSR,1963,33,1823-1826
[3]Soldner,M.,Riede,J.,Schier,A.,Schmidbaour,H.,“Isomeric Cyclic Dislanediylhydrazines”,Inorg.Chem.,1998,37,601-603
[4]Mitzel,N.W.,Bissinger,P.,Riede,J.,Dreihaupl,K.,Schmidbaur,H.,“Two different cyclization modes in theformation of silylhydrazines”,Organometallics,1993,12,413-416.
[5]Mitzel,N.W.,Hofman,M.,Angermaier,K.,Schier,A.,Schleyer,P.,Schmidbaur,H.,“Cyclic silylhydrazines andtheir borane adducts”,Inorg.Chem.,1995,34,4840-4845.
[6]Seiichi Takami等人,“Monolayernitridation of siliconsurfaces by a dry chemical process using dimethylhydrazine orammonia”,Appl.Phys.Lett.,1995,66(12),1527-1529
[7]US专利6350708(2000)
[8]US专利6204206
[9]US专利6127287
[10]US专利5939333(1999)
[11]US专利6365231
[12]US专利6146938
[13]US专利6284583
[14]US专利5976991
[15]David R.Lide,“Handbook of Chemistry and Physics”,81st Ed.,2001,CRC.

Claims (30)

1、使用下式的肼基硅烷在基材上进行氮化硅的化学蒸汽沉积的方法:
[R1 2N-NH]nSi(R2)4-n
其中各R1独立选自C1-C6的烷基;各R2独立选自氢,烷基,乙烯基,烯丙基,和苯基;和n=1-4。
2、权利要求1的方法,其中肼基硅烷选自:双(1,1-二甲基肼基)甲基硅烷,三(1,1-二甲基肼基)硅烷,三(1,1-二甲基肼基)叔丁基硅烷,三(1,1-二甲基肼基)仲丁基硅烷,三(1,1-二甲基肼基)乙基硅烷,双(1,1-二甲基肼基)乙基硅烷,双(1,1-二甲基肼基)异丙基硅烷,双(1,1-二甲基肼基)烯丙基硅烷,双(1,1-二甲基肼基)硅烷,四(1,1-二甲基肼基)硅烷,N,N′,N″-三(二甲基胺基)环三硅氮烷,N,N′,N″,N-四(二甲基胺基)环三硅氮烷,三(1,1-二甲基肼基)异丙基硅烷,三(1,1-二甲基肼基)烯丙基硅烷和它们的混合物。
3、权利要求1的方法,其中基材的温度为大约100至800℃。
4、权利要求1的方法,其中压力为大约10-5托至760托。
5、权利要求1的方法,其中肼基硅烷与选自氮、氨、肼、胺和它们的混合物中的氮源反应。
6、权利要求5的方法,其中氨与肼基硅烷的摩尔比能够大于或等于0。
7、权利要求1的方法,其中基材是硅。
8、权利要求1的方法,其中基材是电子器件。
9、权利要求1的方法,其中基材是平板显示器。
10、权利要求1的方法,其中各R1独立选自甲基和乙基,各R2独立选自氢,甲基,乙基,丙基,异丙基,正丁基,异丁基,叔丁基,烯丙基和苯基。
11、权利要求1的方法,用于通过化学蒸汽沉积法沉积氮化硅。
12、权利要求1的方法,用于通过化学蒸汽沉积法沉积氮氧化硅。
13、权利要求1的方法,用于通过等离子体增强的化学蒸汽沉积法沉积氮化硅。
14、权利要求1的方法,用于通过等离子体增强的化学蒸汽沉积法沉积氧化硅。
15、权利要求1的方法,用于通过等离子体增强的化学蒸汽沉积法沉积氮氧化硅。
16、权利要求1的方法,用于通过原子层沉积法沉积选自氧化硅、氮氧化硅和氮化硅中的材料。
17、氮化硅在反应区中的低温化学蒸汽沉积法,包括以下步骤:
a)在所述反应区内将基材加热到大约100到800℃的温度;
b)在所述反应区内将基材保持在大约10-5托到760托压力的真空下;
c)将下式的肼基硅烷引入到所述反应区内:
[R1 2N-NH]nSi(R2)4-n
其中各R1独立选自C1-C6的烷基;各R2独立选自氢,烷基,烯丙基,和苯基;和n=1-4;和
d)保持a)到c)的条件,足以使在基材上沉积出氮化硅的膜。
18、权利要求17的方法,其中肼基硅烷选自:双(1,1-二甲基肼基)甲基硅烷,三(1,1-二甲基肼基)硅烷,三(1,1-二甲基肼基)叔丁基硅烷,三(1,1-二甲基肼基)仲丁基硅烷,三(1,1-二甲基肼基)乙基硅烷,双(1,1-二甲基肼基)乙基硅烷,双(1,1-二甲基肼基)异丙基硅烷,双(1,1-二甲基肼基)烯丙基硅烷,双(1,1-二甲基肼基)硅烷,四(1,1-二甲基肼基)硅烷,N,N′,N″-三(二甲基胺基)环三硅氮烷,N,N′,N″,N-四(二甲基胺基)环三硅氮烷,三(1,1-二甲基肼基)异丙基硅烷,三(1,1-二甲基肼基)烯丙基硅烷和它们的混合物。
19、权利要求17的方法,其中肼基硅烷与选自氮、氨、肼和它们的混合物中的氮源反应。
20、组合物,其选自三(1,1-二甲基肼基)硅烷,三(1,1-二甲基肼基)叔丁基硅烷,三(1,1-二甲基肼基)仲丁基硅烷,三(1,1-二甲基肼基)乙基硅烷,双(1,1-二甲基肼基)异丙基硅烷,双(1,1-二甲基肼基)烯丙基硅烷,双(1,1-二甲基肼基)硅烷,四(1,1-二甲基肼基)硅烷,N,N′,N″-三(二甲基胺基)环三硅氮烷,N,N′,N″,N-四(二甲基胺基)环三硅氮烷,三(1,1-二甲基肼基)异丙基硅烷,和三(1,1-二甲基肼基)烯丙基硅烷。
21、包括三(1,1-二甲基肼基)硅烷的组合物。
22、包括三(1,1-二甲基肼基)叔丁基硅烷的组合物。
23、包括三(1,1-二甲基肼基)仲丁基硅烷的组合物。
24、包括双(1,1-二甲基肼基)异丙基硅烷的组合物。
25、包括双(1,1-二甲基肼基)烯丙基硅烷的组合物。
26、包括双(1,1-二甲基肼基)硅烷的组合物。
27、包括四(1,1-二甲基肼基)硅烷的组合物。
28、包括N,N′,N″-三(二甲基胺基)环三硅氮烷的组合物。
29、包括三(1,1-二甲基肼基)异丙基硅烷的组合物。
30、包括三(1,1-二甲基肼基)烯丙基硅烷的组合物。
CNA2004100029839A 2003-01-23 2004-01-21 用于沉积含硅薄膜的前体及其方法 Pending CN1518076A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US44218303P 2003-01-23 2003-01-23
US60/442183 2003-01-23
US10/695379 2003-10-27
US10/695,379 US7122222B2 (en) 2003-01-23 2003-10-27 Precursors for depositing silicon containing films and processes thereof

Publications (1)

Publication Number Publication Date
CN1518076A true CN1518076A (zh) 2004-08-04

Family

ID=32600303

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100029839A Pending CN1518076A (zh) 2003-01-23 2004-01-21 用于沉积含硅薄膜的前体及其方法

Country Status (6)

Country Link
US (2) US7122222B2 (zh)
EP (1) EP1441042A1 (zh)
JP (1) JP3954577B2 (zh)
KR (1) KR100654279B1 (zh)
CN (1) CN1518076A (zh)
TW (1) TWI248472B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101899651B (zh) * 2008-11-12 2012-12-26 气体产品与化学公司 用于应力SiN薄膜的氨基乙烯基硅烷前体
US8889235B2 (en) 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
CN104451607A (zh) * 2014-12-01 2015-03-25 西安电子科技大学 提高lpcvd沉积bpsg薄膜均匀性的工艺优化方法
CN113330141A (zh) * 2019-01-24 2021-08-31 应用材料公司 沉积氮化硅的方法

Families Citing this family (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7819903B2 (en) * 2003-03-31 2010-10-26 Depuy Spine, Inc. Spinal fixation plate
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) * 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
GB0412790D0 (en) * 2004-06-08 2004-07-14 Epichem Ltd Precursors for deposition of silicon nitride,silicon oxynitride and metal silicon oxynitrides
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP4854245B2 (ja) * 2005-09-22 2012-01-18 東京エレクトロン株式会社 半導体装置の製造方法
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
EP2373830B1 (en) 2008-10-20 2014-04-30 Dow Corning Corporation Cvd precursors
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9083772B2 (en) * 2010-04-30 2015-07-14 Qualcomm Incorporated Exchanging data associated with a communication session within a communications system
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10424585B2 (en) 2016-01-21 2019-09-24 International Business Machines Corporation Decoupling capacitor on strain relaxation buffer layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11492364B2 (en) * 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4577039A (en) * 1985-07-15 1986-03-18 Petrarch Systems Inc. Method of preparing hexamethylcyclotrisilazane
FR2625211A1 (fr) 1987-12-28 1989-06-30 Atochem Polysiloxazanes, leur procede de preparation, leur utilisation comme precurseurs de ceramiques et lesdites ceramiques
FR2633301A1 (fr) 1988-06-22 1989-12-29 Atochem Polysilazanes, leur procede de preparation, leur utilisation comme precurseurs de ceramiques et lesdites ceramiques
FR2635528A1 (fr) 1988-08-17 1990-02-23 Atochem Procede de preparation de polyhydrosilazanes derives d'hydrazine et l'utilisation desdits silazanes comme precurseurs de ceramique
FR2639937B1 (fr) 1988-12-07 1991-03-22 Atochem Compositions de precurseurs de ceramiques a base de polysilazanes et ceramiques obtenues par pyrolyse desdites compositions
JPH036566A (ja) 1989-06-02 1991-01-14 Mitsubishi Electric Corp エキシマレーザによるパターン形成方法
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5429673A (en) * 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2000080476A (ja) 1998-06-26 2000-03-21 Toshiba Corp 気相成長方法および気相成長装置およびハロゲン化アンモニウム除去装置
US6146938A (en) 1998-06-29 2000-11-14 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005294791A (ja) * 2004-03-09 2005-10-20 Nec Corp 不揮発性メモリ及び不揮発性メモリの製造方法
US7488690B2 (en) * 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101899651B (zh) * 2008-11-12 2012-12-26 气体产品与化学公司 用于应力SiN薄膜的氨基乙烯基硅烷前体
CN102491990B (zh) * 2008-11-12 2015-12-09 气体产品与化学公司 基于氨基乙烯基硅烷的前体
US8889235B2 (en) 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
CN104451607A (zh) * 2014-12-01 2015-03-25 西安电子科技大学 提高lpcvd沉积bpsg薄膜均匀性的工艺优化方法
CN104451607B (zh) * 2014-12-01 2017-04-12 西安电子科技大学 提高lpcvd沉积bpsg薄膜均匀性的工艺优化方法
CN113330141A (zh) * 2019-01-24 2021-08-31 应用材料公司 沉积氮化硅的方法
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法

Also Published As

Publication number Publication date
JP2004228585A (ja) 2004-08-12
EP1441042A1 (en) 2004-07-28
US20070004931A1 (en) 2007-01-04
KR100654279B1 (ko) 2006-12-08
US7122222B2 (en) 2006-10-17
US7288145B2 (en) 2007-10-30
TW200413560A (en) 2004-08-01
KR20040067950A (ko) 2004-07-30
US20040146644A1 (en) 2004-07-29
TWI248472B (en) 2006-02-01
JP3954577B2 (ja) 2007-08-08

Similar Documents

Publication Publication Date Title
CN1518076A (zh) 用于沉积含硅薄膜的前体及其方法
US4992306A (en) Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
JP2962417B2 (ja) ビス(t−ブチルアミノ)シランからの窒化珪素の化学気相成長法
EP2154141B1 (en) Precursors for depositing silicon-containing films and methods using same
US4981724A (en) Deposition of silicon oxide films using alkylsilane liquid sources
EP0964441B1 (en) Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino)silane
US20060084283A1 (en) Low temperature sin deposition methods
CN107667187A (zh) 用于形成含硅和氧的薄膜的汽相沉积方法
EP1630249A2 (en) Process for chemical vapor deposition of silicon nitride.
KR102528331B1 (ko) C, O 및 N 조성이 조절된 SiCON을 증착시키는 방법
KR102217645B1 (ko) 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
TWI730226B (zh) 用於沉積含矽薄膜的組合物及使用彼製造含矽薄膜的方法
CN1940132A (zh) 采用pecvd由氨基硅烷制备氮化硅
TWI700385B (zh) 製備薄膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication