CN102491990B - 基于氨基乙烯基硅烷的前体 - Google Patents

基于氨基乙烯基硅烷的前体 Download PDF

Info

Publication number
CN102491990B
CN102491990B CN201110404812.9A CN201110404812A CN102491990B CN 102491990 B CN102491990 B CN 102491990B CN 201110404812 A CN201110404812 A CN 201110404812A CN 102491990 B CN102491990 B CN 102491990B
Authority
CN
China
Prior art keywords
stress
film
precursor
vinyl
silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201110404812.9A
Other languages
English (en)
Other versions
CN102491990A (zh
Inventor
V·沃萨
A·D·约翰逊
萧满超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN102491990A publication Critical patent/CN102491990A/zh
Application granted granted Critical
Publication of CN102491990B publication Critical patent/CN102491990B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明涉及一种基于氨基乙烯基硅烷的前体,所述前体具有以下通式:[RR1N]xSiR3 y(R2)z其中x+y+z=4,x=2,y=0以及z=2;R、R1和R3各自选自氢、C1-C10烷烃、C2-C10烯烃或C4-C12芳香基团;R2选自乙烯基、烯丙基或包含乙烯基的其他官能团。

Description

基于氨基乙烯基硅烷的前体
本申请为一项发明专利申请的分案申请,其母案的申请日为2009年11月12日、申请号为200910246836.9、发明名称为“用于应力SiN薄膜的氨基乙烯基硅烷前体”。
相关申请的交叉引用
本申请主张于11/12/2008申请的美国临时专利申请序列号61/113,624的权利。
技术领域
本发明涉及一种基于氨基乙烯基硅烷的前体。
背景技术
本发明属于集成电路制造领域以及特别是薄膜中结构的材料,所述薄膜与集成电路中的电子器件相邻接或为之一部分,例如晶体管、电容、导通孔(vias)、导电线路以及母线(bussbars)。由于此类电子器件的尺寸持续不断缩小以及此类器件在给定区域内的密度增加,与此类电子器件相邻接或为之一部分的薄膜势必要显示出更高的电特性。设计应力(designstress)到此类薄膜中可以改变它们的电特性。目前使用PECVD氮化硅薄膜的应力工程设计来增进前沿金属氧化物半导体场效应晶体管(MOSFET)技术的性能。器件速度已经通过应用沉积在MOSFET栅极结构顶部上的高应力SiN薄膜得到显著增加。通过空穴迁移率的提高,压应力(compressivestresss)增强了“P”型场效应晶体管(pFET)器件,同时,通过电子迁移率的提高,张应力有益于“N”型场效应晶体管(nFET)器件。应力是由相接触的两种材料之间热膨胀的差异产生。等离子增强化学气相沉积(PECVD)氮化硅薄膜通常产生压应力。目前,使用硅烷和氨沉积压应力薄膜,据报道压应力至多达~-3.5千兆帕斯卡(GPa)。更进一步提高压应力变得特别具挑战性。本行业目前的目标为具有-4GPa或更高压应力的薄膜。
与该技术相关的专利包括:US2006/0045986、EP1630249、US2006/0258173、EP1724373、US7288145、US7122222、US20060269692、WO2006/127462及US2008/0146007,以及参考文献“Methodsofproducingplasmaenhancedchemicalvapordepositionsiliconnitridethinfilmswithhighcompressiveandtensilestress.”,M.Belyansky等,J.Vac.Sci.Technol.A26(3),517(2008)。
发明内容
本发明是一种提高等离子增强化学气相沉积(PECVD)氮化硅(SiN)和碳氮化硅(SiCN)薄膜中本征压应力(intrinsiccompressivestress)的方法,包括从基于氨基乙烯基硅烷的前体沉积所述薄膜。
更具体地,本发明使用选自式:[RR1N]xSiR3 y(R2)z的基于氨基乙烯基硅烷的前体,
其中x+y+z=4,x=1-3,y=0-2以及z=1-3;R、R1和R3可以是氢、C1-C10烷烃(基)、烯烃(基)或C4-C12芳香基团;各个R2为乙烯基、烯丙基或包含乙烯基的官能团。
附图说明
图1A和B为本发明的化学前体物质的结构式的描述。
图2为在多种工艺条件下通过BIPAVMS和氨的PECVD沉积形成的薄膜的应力值图。
图3为使用BIPAVMS和氨以PECVD沉积的氮化硅薄膜的FTIR谱。
图4为描绘氮键接氢(NHx)与硅键接氢(SiH)含量的比值相对于薄膜应力的图。
图5为描绘NHx和SiH含量相对于薄膜应力的图。
具体实施方式
本发明提供基于氨基乙烯基硅烷的前体作为提高等离子增强化学气相沉积(PECVD)氮化硅(SiN)和碳氮化硅(SiCN)薄膜中的本征压应力的方法。这些氨基乙烯基硅烷前体的主要特征为一或两个键接到中心硅原子的乙烯基官能团。所述前体具有以下通式:[RR1N]xSiR3 y(R2)z
其中x+y+z=4,x=1-3,y=0-2,以及z=1-3。R、R1和R3可以为氢、C1到C10烷烃(基)、烯烃(基)或C4-C12芳香基团;各个R2为乙烯基、烯丙基或其它包含乙烯基的官能团。发现将乙烯基基团加入到氨基硅烷提高了使用这些前体沉积的SiN和SiCN薄膜的本征压应力。
所述氨基乙烯基硅烷前体包括但不限于双(异丙基氨基)乙烯基甲基硅烷(BIPAVNS)、双(异丙基氨基)二乙烯基硅烷(BIPADVS)、双(异丙基氨基)乙烯基硅烷、双(异丙基氨基)烯丙基甲基硅烷、双(异丙基氨基)二烯丙基硅烷、双(异丙基氨基)烯丙基硅烷、双(叔丁基氨基)乙烯基甲基硅烷、双(叔丁基氨基)二乙烯基硅烷、双(叔丁基氨基)乙烯基硅烷、双(叔丁基氨基)烯丙基甲基硅烷、双(叔丁基氨基)二烯丙基硅烷、双(叔丁基氨基)烯丙基硅烷、双(二乙基氨基)乙烯基甲基硅烷、双(二乙基氨基)二乙烯基硅烷、双(二乙基氨基)乙烯基硅烷、双(二乙基氨基)烯丙基甲基硅烷、双(二乙基氨基)二烯丙基硅烷、双(二乙基氨基)烯丙基硅烷、双(二甲基氨基)乙烯基甲基硅烷、双(二甲基氨基)二乙烯基硅烷、双(二甲基氨基)乙烯基硅烷、双(二甲基氨基)烯丙基甲基硅烷、双(二甲基氨基)二烯丙基硅烷、双(二甲基氨基)烯丙基硅烷、双(甲基乙基氨基)乙烯基甲基硅烷、双(甲基乙基氨基)二乙烯基硅烷、双(甲基乙基氨基)乙烯基硅烷、双(甲基乙基氨基)烯丙基甲基硅烷、双(甲基乙基氨基)二烯丙基硅烷、双(甲基乙基氨基)烯丙基硅烷、二哌啶基乙烯基甲基硅烷、二哌啶基二乙烯基硅烷、二哌啶基乙烯基硅烷、二哌啶基烯丙基甲基硅烷、二哌啶基二烯丙基硅烷、二哌啶基烯丙基硅烷、二吡咯烷基乙烯基甲基硅烷、二吡咯烷基二乙烯基硅烷、二吡咯烷基乙烯基硅烷、二吡咯烷基烯丙基甲基硅烷、二吡咯烷基二烯丙基硅烷、二吡咯烷基烯丙基硅烷。
试验中所使用的具体前体为双(异丙基氨基)乙烯基甲基硅烷(BIPAVMS)。另一类似前体为双(异丙基氨基)二乙烯基硅烷(BIPADVS)。
目前使用PECVD氮化硅薄膜的应力工程设计来增进前沿(cuttingedge)MOSFET技术的性能。器件速度已经通过应用沉积在MOSFET栅结构顶部上的高应力SiN薄膜得到显著增加。通过空穴迁移率的提高,压应力增强了pFET器件,同时,通过电子迁移率的提高,张应力有益于nFET器件。由相接触的两种材料之间热膨胀的差异产生应力。PECVD氮化硅薄膜通常生成压应力。目前,使用硅烷和氨沉积压应力薄膜,据报道压应力至多达~-3.5GPa。更进一步提高压应力变得特别具挑战性。本行业目前的目标为具有-4GPa或更高压应力的薄膜。
-4GPa压应力薄膜的目标可以通过使用上述的氨基乙烯基硅烷前体来实现。在本发明中,可以获得-0.7到-4.5GPa(-700到-4500MPa)的压应力。到目前为止,应力生成方面的增加大多数是通过工艺技术,例如等离子表面处理、多层沉积、双频等离子以及其他类似方法。本发明最先特别地使用特定类型的含硅前体来增加薄膜应力。
标准沉积方法具有它们可产生的应力值的限制。应力的目前目标对于张应力为1.5GPa以及对于压应力为-4GPa。
已经观察到SiN薄膜中更高的氢掺入导致更高的压应力。我们认为使用氨基乙烯基硅烷例如BIPADVS和BIPAVMS沉积的PECVDSiN薄膜可以由于全氢掺入以及此外通过氢掺入的类型(即氮键接的氢与硅键接的氢)而产生高的压应力。我们已经说明对于双(叔丁基氨基)硅烷(BTBAS)以及BIPAVMS二者,压应力与N-H对Si-H的比值呈强相关,具有高的N-H对Si-H比值会导致更高的压应力。使用氨基硅烷与氨的混合物沉积的薄膜通过转氨反应自然地导致含有高N-H比Si-H含量的薄膜。
此外,已经发现含有乙烯基官能团的氨基硅烷例如BIPADVS和BIPAVMS更进一步提高所述压应力。乙烯基基团在生成薄膜应力方面起重要作用。在等离子情况下,碳-碳双键可以形成交联点,其通过使原子保持靠近而提高薄膜的密度。所述前体的Si-H键以氢化硅烷化反应与碳-碳双键反应,在硅原子之间形成亚乙基桥。亚乙基桥使所述硅原子保持靠近,以及因而被氨替代,以及该过程帮助Si-N-Si结构的形成。
本发明涉及通过使用该特定类别的氨基硅烷前体即氨基乙烯基硅烷采用PEVCD来沉积高应力氮化硅(SiN)薄膜或碳氮化硅(SiCN)薄膜从而克服本征应力产生的限制。发现将乙烯基基团加入到氨基硅烷提高使用这些前体沉积的SiN和SiCN薄膜的本征压应力。
为了沉积压应力氮化硅或碳氮化硅薄膜,将所述氨基乙烯基硅烷在500℃或以下的晶片温度下于PECVD室中与含氮气体反应。所述含氮气体可以为氨气、氮气或其组合。另外,可以引入稀释气体例如但不限于He、Ar、Ne、Xe或氢气以改善所述薄膜性能。例如,将双(异丙基氨基)乙烯基甲基硅烷(BIPAVMS)(图1A)或双(异丙基氨基)二乙烯基硅烷(BIPADVS)(图1B)与氨引入PECVD室并使它们反应,导致压应力SiN薄膜的沉积,合适的BIPAVMS流速可以在50到大约1000mg/min的范围内变化。合适的氨气和/或氮气流速可以在500到10,000sccm的范围内变化,以及所述稀释气体可以在50到50,000sccm范围内变化。
实施例
在下表1中获得的操作A-F的沉积条件以及相应的薄膜应力,如下所示。沉积温度为400C。在这些实施例中,从沉积到介质电阻率(8-12Ωcm)单晶硅晶片基底上的样品薄膜获取性能。所有沉积在配备有AdvancedEnergy2000RF发生器的200mmDXZ室中在AppliedMaterialsPrecision5000系统上进行。所述等离子体是单频13.56MHz。
在表1实施例中,厚度和光学性质,例如所述介电膜的折射率在SCIFilmtekReflectometer上测定。所述折射率使用632nm波长光测定。在氮气吹扫过的小室中使用ThermoNicolet750系统在所述晶片上收集FourierInfraredSpectroscopy(FTIR)数据。本底谱图在类似介质电阻率晶片上收集以从所述谱图消除CO2和水。数据通过以4cm-1的分辨率在4000到400cm-1范围内收集32个扫描值获得。使用OMNIC软件包处理所述数据。薄膜应力测量使用激光光束散射工具(TohoTechnologyCorp.,Model:FLX2320S)获得。
表1
薄膜 BIPAVMS流 NH3 P 功率 应力
(mg/min) (sccm) (Torr) (W) (MPa)
A 250 2500 2.5 400 -1849
B 250 1250 2.5 400 -934
C 250 2500 4 400 -757
D 250 2500 2.5 600 -2249
E 125 2500 2.5 400 -2357
F 125 2500 2.5 600 -2260
在400℃下使用双(异丙基氨基)乙烯基甲基硅烷和氨而沉积的氮化硅薄膜的薄膜应力数据示于图2。所述薄膜在多种工艺条件,例如前体和气体流速、压力和RF功率下沉积。所述薄膜是单层,厚度在100到350nm的范围内。所述等离子体使用单频13.56MHz生成。这些薄膜的压应力在-700到-2400兆帕斯卡(MPa)范围内变化。在可比较的工艺条件下,这些薄膜产生比BTBAS高~1.5到1.8倍的压应力。
图3说明来自图2的具有最低(薄膜C)和最高(薄膜E)压应力的薄膜的FTIR谱。这两种薄膜均显示出类似强度的NHx拉伸和弯曲模式。但是,在~2190cm-1处SiH峰存在明显的差异,因而表示主要区别在于氢是否键接至氮或硅。
图4描述NHx与SiH的比值与应力之间的相互关系。由此图可以看出,应力伴随着更高的NHx与SiH比值而增加。优选地,所沉积的薄膜具有25到85的N-H与Si-H比值,最优选70。
图5描述了氮键接的氢(NHx)与应力之间的以及硅键接的氢与应力之间的相互关系。这些数据表明除了高含量的NHx部分之外SiH基团的减少在高水平压应力的产生中是重要的。来源于NHx部分的氢含量在2.9到3.5H含量/cm3×1022,优选3.3到3.6H含量/cm3×1022的范围内增加压应力。
实验数据表明具有更高应力值的薄膜未发现含有碳。推断所述碳被氨侵蚀失去,其与所述前体相比是高过量的。在更高应力SiN薄膜中,更多Si-H键被乙烯基的氢化硅烷化所去除,以及通过以氨去除亚乙基桥而被N-H替代。
实施例2
在列于表1的工艺条件A下,使用非乙烯基前体(例如BTBAS)的薄膜的应力比(BIPAVMS)的更低。
表2
实施例3
在列于表1的工艺条件A下,除了可选的工具和喷气头(showerhead)结构之外,当前体中乙烯基基团的数目增加时,所沉积的薄膜的应力增加。
表3
前体 乙烯基基团 应力(MPa)
BIPAVMS 1 -1200
BIPADVS 2 -1705

Claims (1)

1.一种前体,选自双(异丙基氨基)二乙烯基硅烷(BIPADVS)、双(异丙基氨基)二烯丙基硅烷、双(叔丁基氨基)二乙烯基硅烷、双(叔丁基氨基)二烯丙基硅烷、双(甲基乙基氨基)二烯丙基硅烷、双(甲基乙基氨基)二乙烯基硅烷、二哌啶基二乙烯基硅烷和二吡咯烷基二乙烯基硅烷。
CN201110404812.9A 2008-11-12 2009-11-12 基于氨基乙烯基硅烷的前体 Expired - Fee Related CN102491990B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11362408P 2008-11-12 2008-11-12
US61/113624 2008-11-12
US12/609542 2009-10-30
US12/609,542 US8580993B2 (en) 2008-11-12 2009-10-30 Amino vinylsilane precursors for stressed SiN films
CN2009102468369A CN101899651B (zh) 2008-11-12 2009-11-12 用于应力SiN薄膜的氨基乙烯基硅烷前体

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009102468369A Division CN101899651B (zh) 2008-11-12 2009-11-12 用于应力SiN薄膜的氨基乙烯基硅烷前体

Publications (2)

Publication Number Publication Date
CN102491990A CN102491990A (zh) 2012-06-13
CN102491990B true CN102491990B (zh) 2015-12-09

Family

ID=41509788

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201110404812.9A Expired - Fee Related CN102491990B (zh) 2008-11-12 2009-11-12 基于氨基乙烯基硅烷的前体
CN2009102468369A Expired - Fee Related CN101899651B (zh) 2008-11-12 2009-11-12 用于应力SiN薄膜的氨基乙烯基硅烷前体

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009102468369A Expired - Fee Related CN101899651B (zh) 2008-11-12 2009-11-12 用于应力SiN薄膜的氨基乙烯基硅烷前体

Country Status (6)

Country Link
US (2) US8580993B2 (zh)
EP (2) EP2465861A1 (zh)
JP (2) JP5175261B2 (zh)
KR (2) KR101396139B1 (zh)
CN (2) CN102491990B (zh)
TW (2) TWI412622B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9447287B2 (en) * 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20210118284A (ko) * 2020-03-19 2021-09-30 삼성디스플레이 주식회사 표시 장치
CN114447435A (zh) * 2022-01-21 2022-05-06 恒实科技发展(南京)有限公司 用于锂二次电池的非水电解液及其制备方法和应用

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1372302A (zh) * 2001-02-15 2002-10-02 安内华股份有限公司 Cvd方法
CN1518076A (zh) * 2003-01-23 2004-08-04 �����Ʒ�뻯ѧ��˾ 用于沉积含硅薄膜的前体及其方法
EP1724373A1 (en) * 2005-05-16 2006-11-22 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2854787B2 (ja) * 1993-08-31 1999-02-03 信越化学工業株式会社 シリコーンゴム組成物の製造方法
JP3430097B2 (ja) 1999-12-22 2003-07-28 日本電気株式会社 薄膜トランジスタアレイ基板の製造方法
JP2004223769A (ja) * 2003-01-20 2004-08-12 Dainippon Printing Co Ltd 透明積層フィルム、反射防止フィルム及びそれを用いた偏光板、液晶表示装置
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
JP2005310861A (ja) 2004-04-19 2005-11-04 Mitsui Chemicals Inc 炭化窒化珪素膜の形成方法
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006120992A (ja) 2004-10-25 2006-05-11 C Bui Res:Kk シリコン窒化膜の製造方法及びその製造装置
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP2006294485A (ja) 2005-04-13 2006-10-26 Konica Minolta Holdings Inc 有機エレクトロルミネッセンス素子、その製造方法及び表示装置
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
WO2006129773A1 (ja) * 2005-05-31 2006-12-07 Toho Catalyst Co., Ltd. アミノシラン化合物、オレフィン類重合用触媒成分および触媒並びにこれを用いたオレフィン類重合体の製造方法
JP2007092166A (ja) * 2005-09-02 2007-04-12 Japan Advanced Institute Of Science & Technology Hokuriku 薄膜堆積装置、薄膜堆積方法及び化合物薄膜
US20080142046A1 (en) * 2006-12-13 2008-06-19 Andrew David Johnson Thermal F2 etch process for cleaning CVD chambers
US7790635B2 (en) * 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
JPWO2008096616A1 (ja) 2007-02-05 2010-05-20 コニカミノルタホールディングス株式会社 透明ガスバリア性フィルム及びその製造方法
JP5391557B2 (ja) * 2007-02-28 2014-01-15 住友化学株式会社 共役ジエン系重合体、共役ジエン系重合体の製造方法及び共役ジエン系重合体組成物
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1372302A (zh) * 2001-02-15 2002-10-02 安内华股份有限公司 Cvd方法
CN1518076A (zh) * 2003-01-23 2004-08-04 �����Ʒ�뻯ѧ��˾ 用于沉积含硅薄膜的前体及其方法
EP1724373A1 (en) * 2005-05-16 2006-11-22 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Cyclopolymerization of Diallylsilanes;N.C.BILLINGHAM等;《JOURNAL OF POLYMER SCIENCE : Polymer Chemistry Edition》;19770331;第15卷(第3期);第677页第3段第1行 *
Vinyl-Substituted Silphenylene Siloxane Copolymers: Novel High-Temperature Elastomers;Ulrich Lauter等;《Macromolecules》;19990430;第32卷(第10期);第3427页左栏倒数第3段第1行,第3427页左栏图示1化合物5,第3427页左栏最后1段,第3427页右栏第3段 *
Water-Soluble Silicon Containing Polymer Resist;HIDETOSHI AOKI等;《Journal of Polymer Science: Part A: Polymer Chemistry》;19971031;第35卷(第14期);第2828页方案2 *

Also Published As

Publication number Publication date
US20140065844A1 (en) 2014-03-06
TW201211303A (en) 2012-03-16
JP5508496B2 (ja) 2014-05-28
KR101553863B1 (ko) 2015-09-17
JP2010118664A (ja) 2010-05-27
EP2465861A1 (en) 2012-06-20
JP2013016859A (ja) 2013-01-24
KR20130016171A (ko) 2013-02-14
US8580993B2 (en) 2013-11-12
JP5175261B2 (ja) 2013-04-03
US20100120262A1 (en) 2010-05-13
CN101899651A (zh) 2010-12-01
TWI412622B (zh) 2013-10-21
EP2192207B1 (en) 2012-06-20
EP2192207A1 (en) 2010-06-02
KR20100053471A (ko) 2010-05-20
KR101396139B1 (ko) 2014-05-19
CN101899651B (zh) 2012-12-26
CN102491990A (zh) 2012-06-13
TW201018741A (en) 2010-05-16
TWI437117B (zh) 2014-05-11

Similar Documents

Publication Publication Date Title
CN102491990B (zh) 基于氨基乙烯基硅烷的前体
US11732350B2 (en) Films of desired composition and film properties
US11264234B2 (en) Conformal deposition of silicon carbide films
KR100437068B1 (ko) 탄소질 산화실리콘의 형성방법
CN101886255B (zh) 使用含氮前体的介电阻挡层沉积
CN103572251A (zh) 不含氧的硅基薄膜以及形成该薄膜的方法
KR20030007722A (ko) 성막방법 및 성막장치
CN113846310A (zh) 碳化硅膜的共形沉积
JP2002289617A (ja) 集積回路構造
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积
TW202016340A (zh) 使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20170623

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20151209

Termination date: 20211112

CF01 Termination of patent right due to non-payment of annual fee