TWI437117B - 用於應力的SiN膜的胺基乙烯基矽烷前驅物 - Google Patents

用於應力的SiN膜的胺基乙烯基矽烷前驅物 Download PDF

Info

Publication number
TWI437117B
TWI437117B TW100140431A TW100140431A TWI437117B TW I437117 B TWI437117 B TW I437117B TW 100140431 A TW100140431 A TW 100140431A TW 100140431 A TW100140431 A TW 100140431A TW I437117 B TWI437117 B TW I437117B
Authority
TW
Taiwan
Prior art keywords
bis
decane
group
stress
vinyl
Prior art date
Application number
TW100140431A
Other languages
English (en)
Other versions
TW201211303A (en
Inventor
Vasil Vorsa
Andrew David Johnson
Manchao Xiao
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201211303A publication Critical patent/TW201211303A/zh
Application granted granted Critical
Publication of TWI437117B publication Critical patent/TWI437117B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

用於應力的SiN膜的胺基乙烯基矽烷前驅物 相關申請的交叉引用
本申請主張於11/12/2008申請的美國臨時專利申請序列號61/113,624的權利。
本發明涉及一種提高在氮化矽(SiN)和碳氮化矽(SiCN)薄膜的電漿增強化學氣相沉積(PECVD)中本徵壓應力的方法。
本發明屬於積體電路製造領域以及特別是薄膜中結構的材料,所述薄膜與積體電路中的電子器件相鄰接或為之一部分,例如電晶體、電容、導通孔(vias)、導電線路以及母線(buss bars)。由於此類電子器件的尺寸持續不斷縮小以及此類器件在給定區域內的密度增加,與此類電子器件相鄰接或為之一部分的薄膜勢必要顯示出更高的電特性。設計應力(design stress)到此類薄膜中可以改變它們的電特性。目前使用PECVD氮化矽薄膜的應力工程設計來增進前沿金屬氧化物半導體場效電晶體(MOSFET)技術的性能。器件速度已經通過應用沉積在MOSFET閘極結構頂部上的高應力SiN薄膜得到顯著增加。通過電洞遷移率的提高,壓應力(compressive stresss)增強了“P”型場效電晶體(pFET)器件,同時,通過電子遷移率的提高,張應力有益於“N”型場效電晶體(nFET)器件。應力是由相接觸的兩種材料之間熱膨脹的差異產生。電漿增強化學氣相沉積(PECVD)氮化矽薄膜通常產生壓應力。目前,使用矽烷和氨沉積壓應力薄膜,據報導壓應力至多達~-3.5千兆帕斯卡(GPa)。更進一步提高壓應力變得特別具挑戰性。本行業目前的目標為具有-4 GPa或更高壓應力的薄膜。
與該技術相關的專利包括:US 2006/0045986、EP 1 630 249、US 2006/0258173、EP 1 724 373、US 7288145、US 7122222、US20060269692、WO2006/127462及US2008/0146007,以及參考文獻“Methods of producing plasma enhanced chemical vapor deposition silicon nitride thin films with high compressive and tensile stress.”,M.Belyansky等,J. Vac. Sci. Technol. A 26(3),517(2008)。
本發明是一種提高電漿增強化學氣相沉積(PECVD)氮化矽(SiN)和碳氮化矽(SiCN)薄膜中本徵壓應力(intrinsic compressive stress)的方法,包括從基於胺基乙烯基矽烷的前驅物沉積所述薄膜。
更具體地,本發明使用選自式:[RR1 N]x SiR3 y (R2 )z 的基於胺基乙烯基矽烷的前驅物,其中x+y+z=4,x=1-3,y=0-2以及z=1-3;R、R1 和R3 可以是氫、C1 -C10 烷烴(基)、烯烴(基)或C4 -C12 芳香基團;各個R2 為乙烯基、烯丙基或包含乙烯基的官能團。
本發明提供基於胺基乙烯基矽烷的前驅物作為提高電漿增強化學氣相沉積(PECVD)氮化矽(SiN)和碳氮化矽(SiCN)薄膜中的本徵壓應力的方法。這些胺基乙烯基矽烷前驅物的主要特徵為一或兩個鍵接到中心矽原子的乙烯基官能團。所述前驅物具有以下通式:[RR1 N]x SiR3 y (R2 )z 其中x+y+z=4,x=1-3,y=0-2,以及z=1-3。R、R1 和R3 可以為氫、C1 到C10 烷烴(基)、烯烴(基)或C4 -C12 芳香基團;各個R2 為乙烯基、烯丙基或其他包含乙烯基的官能團。發現將乙烯基基團加入到胺基矽烷提高了使用這些前驅物沉積的SiN和SiCN薄膜的本徵壓應力。
所述胺基乙烯基矽烷前驅物包括但不限於雙(異丙基胺基)乙烯基甲基矽烷(BIPAVNS)、雙(異丙基胺基)二乙烯基矽烷(BIPADVS)、雙(異丙基胺基)乙烯基矽烷、雙(異丙基胺基)烯丙基甲基矽烷、雙(異丙基胺基)二烯丙基矽烷、雙(異丙基胺基)烯丙基矽烷、雙(第三丁基胺基)乙烯基甲基矽烷、雙(第三丁基胺基胺基)二乙烯基矽烷、雙(第三丁基胺基胺基)乙烯基矽烷、雙(第三丁基胺基胺基)烯丙基甲基矽烷、雙(第三丁基胺基胺基)二烯丙基矽烷、雙(第三丁基胺基胺基)烯丙基矽烷、雙(二乙基胺基)乙烯基甲基矽烷、雙(二乙基胺基)二乙烯基矽烷、雙(二乙基胺基)乙烯基矽烷、雙(二乙基胺基)烯丙基甲基矽烷、雙(二乙基胺基)二烯丙基矽烷、雙(二乙基胺基)烯丙基矽烷、雙(二甲基胺基)乙烯基甲基矽烷、雙(二甲基胺基)二乙烯基矽烷、雙(二甲基胺基)乙烯基矽烷、雙(二甲基胺基)烯丙基甲基矽烷、雙(二甲基胺基)二烯丙基矽烷、雙(二甲基胺基)烯丙基矽烷、雙(甲基乙基胺基)乙烯基甲基矽烷、雙(甲基乙基胺基)二乙烯基矽烷、雙(甲基乙基胺基)乙烯基矽烷、雙(甲基乙基胺基)烯丙基甲基矽烷、雙(甲基乙基胺基)二烯丙基矽烷、雙(甲基乙基胺基)烯丙基矽烷、二呱啶基乙烯基甲基矽烷、二呱啶基二乙烯基矽烷、二呱啶基乙烯基矽烷、二呱啶基烯丙基甲基矽烷、二呱啶基二烯丙基矽烷、二呱啶基烯丙基矽烷、二吡咯烷基乙烯基甲基矽烷、二吡咯烷基二乙烯基矽烷、二吡咯烷基乙烯基矽烷、二吡咯烷基烯丙基甲基矽烷、二吡咯烷基二烯丙基矽烷、二吡咯烷基烯丙基矽烷。
試驗中所使用的具體前驅物為雙(異丙基胺基)乙烯基甲基矽烷(BIPAVMS)。另一類似前驅物為雙(異丙基胺基)二乙烯基矽烷(BIPADVS)。
目前使用PECVD氮化矽薄膜的應力工程設計來增進前沿(cutting edge) MOSFET技術的性能。器件速度已經通過應用沉積在MOSFET閘結構頂部上的高應力SiN薄膜得到顯著增加。通過電洞遷移率的提高,壓應力增強了pFET器件,同時,通過電子遷移率的提高,張應力有益於nFET器件。由相接觸的兩種材料之間熱膨脹的差異產生應力。PECVD氮化矽薄膜通常生成壓應力。目前,使用矽烷和氨沉積壓應力薄膜,據報導壓應力至多達~-3.5 GPa。更進一步提高壓應力變得特別具挑戰性。本行業目前的目標為具有-4 GPa或更高壓應力的薄膜。
-4 GPa壓應力薄膜的目標可以通過使用上述的胺基乙烯基矽烷前驅物來實現。在本發明中,可以獲得-0.7到-4.5 GPa(-700到-4500 MPa)的壓應力。到目前為止,應力生成方面的增加大多數是通過製程技術,例如電漿表面處理、多層沉積、雙頻電漿以及其他類似方法。本發明最先特別地使用特定類型的含矽前驅物來增加薄膜應力。
標準沉積方法具有它們可產生的應力值的限制。應力的目前目標對於張應力為1.5GPa以及對於壓應力為-4 GPa。
已經觀察到SiN薄膜中更高的氫摻入導致更高的壓應力。我們認為使用胺基乙烯基矽烷例如BIPADVS和BIPAVMS沉積的PECVD SiN薄膜可以由於全氫摻入以及此外通過氫摻入的類型(即氮鍵接的氫與矽鍵接的氫)而產生高的壓應力。我們已經說明對於雙(第三丁基胺基)矽烷(BTBAS)以及BIPAVMS二者,壓應力與N-H對Si-H的比值呈強相關,具有高的N-H對Si-H比值會導致更高的壓應力。使用胺基矽烷與氨的混合物沉積的薄膜通過轉胺反應自然地導致含有高N-H比Si-H含量的薄膜。
此外,已經發現含有乙烯基官能團的胺基矽烷例如BIPADVS和BIPAVMS更進一步提高所述壓應力。乙烯基基團在生成薄膜應力方面起重要作用。在電漿情況下,碳-碳雙鍵可以形成交聯點,其通過使原子保持靠近而提高薄膜的密度。所述前驅物的Si-H鍵以氫化矽烷化反應與碳-碳雙鍵反應,在矽原子之間形成亞乙基橋。亞乙基橋使所述矽原子保持靠近,以及因而被氨替代,以及該過程幫助Si-N-Si結構的形成。
本發明涉及通過使用該特定類別的胺基矽烷前驅物即胺基乙烯基矽烷採用PEVCD來沉積高應力氮化矽(SiN)薄膜或碳氮化矽(SiCN)薄膜從而克服本徵應力產生的限制。發現將乙烯基基團加入到胺基矽烷提高使用這些前驅物沉積的SiN和SiCN薄膜的本徵壓應力。
為了沉積壓應力氮化矽或碳氮化矽薄膜,將所述胺基乙烯基矽烷在500℃或以下的晶片溫度下於PECVD室中與含氮氣體反應。所述含氮氣體可以為氨氣、氮氣或其組合。另外,可以引入稀釋氣體例如但不限於He、Ar、Ne、Xe或氫氣以改善所述薄膜性能。例如,將雙(異丙基胺基)乙烯基甲基矽烷(BIPAVMS)(圖1A)或雙(異丙基胺基)二乙烯基矽烷(BIPADVS)(圖1B)與氨引入PECVD室並使它們反應,導致壓應力SiN薄膜的沉積,合適的BIPAVMS流速可以在50到大約1000 mg/min的範圍內變化。合適的氨氣和/或氮氣流速可以在500到10,000 sccm的範圍內變化,以及所述稀釋氣體可以在50到50,000 sccm範圍內變化。
實施例
在下表1中獲得的操作A-F的沉積條件以及相應的薄膜應力,如下所示。沉積溫度為400 C。在這些實施例中,從沉積到介質電阻率(8-12 Ωcm)單晶矽晶片基材上的樣品薄膜獲取性能。所有沉積在配備有Advanced Energy 2000 RF產生器的200 mm DXZ室中在Applied Materials Precision 5000系統上進行。所述電漿是單頻13.56 MHz。
在表1實施例中,厚度和光學性質,例如所述介電膜的折射率在SCI Filmtek Reflectometer上測定。所述折射率使用632 nm波長光測定。在氮氣吹掃過的小室中使用Thermo Nicolet 750系統在所述晶片上收集Fourier Infrared Spectroscopy(FTIR)資料。本底譜圖在類似介質電阻率晶片上收集以從所述譜圖消除CO2 和水。資料通過以4 cm-1 的解析度在4000到400 cm-1 範圍內收集32個掃描值獲得。使用OMNIC套裝軟體處理所述資料。薄膜應力測量使用鐳射光束散射工具(Toho Technology Corp.,Model:FLX2320S)獲得。
在400℃下使用雙(異丙基胺基)乙烯基甲基矽烷和氨而沉積的氮化矽薄膜的薄膜應力數據示於圖2。所述薄膜在多種製程條件,例如前驅物和氣體流速、壓力和RF功率下沉積。所述薄膜是單層,厚度在100到350 nm的範圍內。所述電漿使用單頻13.56 MHz生成。這些薄膜的壓應力在-700到-2400兆帕斯卡(MPa)範圍內變化。在可比較的製程條件下,這些薄膜產生比BTBAS高~1.5到1.8倍的壓應力。
圖3說明來自圖2的具有最低(薄膜C)和最高(薄膜E)壓應力的薄膜的FTIR譜。這兩種薄膜均顯示出類似強度的NHx 拉伸和彎曲模式。但是,在~2190 cm-1 處SiH峰存在明顯的差異,因而表示主要區別在於氫是否鍵接至氮或矽。
圖4描述NHx 與SiH的比值與應力之間的相互關係。由此圖可以看出,應力伴隨著更高的NHx 與SiH比值而增加。優選地,所沉積的薄膜具有25到85的N-H與Si-H比值,最優選70。
圖5描述了氮鍵接的氫(NHx )與應力之間的以及矽鍵接的氫與應力之間的相互關係。這些資料表明除了高含量的NHx 部分之外SiH基團的減少在高水準壓應力的產生中是重要的。來源於NHx 部分的氫含量在2.9到3.5 H含量/cm3 ×1022 ,優選3.3到3.6 H含量/cm3 ×1022 的範圍內增加壓應力。
實驗資料表明具有更高應力值的薄膜未發現含有碳。
推斷所述碳被氨侵蝕失去,其與所述前驅物相比是高過量的。在更高應力SiN薄膜中,更多Si-H鍵被乙烯基的氫化矽烷化所去除,以及通過以氨去除亞乙基橋而被N-H替代。
實施例2
在列於表1的製程條件A下,使用非乙烯基前驅物(例如BTBAS)的薄膜的應力比(BIPAVMS)的更低。
實施例3
在列於表1的製程條件A下,除了可選的工具和噴氣頭(showerhead)結構之外,當前驅物中乙烯基基團的數目增加時,所沉積的薄膜的應力增加。
圖1A和B為本發明的化學前驅物物質的結構式的描述。
圖2為在多種製程條件下通過BIPAVMS和氨的PECVD沉積形成的薄膜的應力值圖。
圖3為使用BIPAVMS和氨以PECVD沉積的氮化矽薄膜的FTIR譜。
圖4為描繪氮鍵接氫(NHx )與矽鍵接氫(SiH)含量的比值相對於薄膜應力的圖。
圖5為描繪NHx 和SiH含量相對於薄膜應力的圖。

Claims (4)

  1. 一種具有式[RR1 N]x Si(R2 )z 的前驅物,其中x=2,及z=2;R及R1 獨立地選自氫、C1 -C10 烷烴基、C2 -C10 烯烴基及C4 -C12 芳香基團所組成的群組;R2 選自乙烯基、烯丙基及包含乙烯基的官能團所組成的群組,且當R2 為乙烯基時,R及R1 不得同時為甲基。
  2. 如申請專利範圍第1項的前驅物,其係選自雙(異丙基胺基)二乙烯基矽烷(BIPADVS)、雙(異丙基胺基)二烯丙基矽烷、雙(第三丁基胺基胺基)二乙烯基矽烷、雙(第三丁基胺基胺基)二烯丙基矽烷、雙(二乙基胺基)二烯丙基矽烷、雙(甲基乙基胺基)二烯丙基矽烷、雙(甲基乙基胺基)二乙烯基矽烷、二呱啶基二乙烯基矽烷(Dipiperidinodivinylsilane)、及二吡咯烷基二乙烯基矽烷(Dipyrrolidinodivinylsilane)所組成的群組。
  3. 一種使用具有式[RR1 N]x Si(R2 )z 的化合物作為沉積薄膜的前驅物的用途,其中x=2,及z=2;R及R1 獨立地選自氫、C1 -C10 烷烴基、C2 -C10 烯烴基及C4 -C12 芳香基團所組成的群組;R2 選自乙烯基、烯丙基及包含乙烯基的官能團所組成的群組。
  4. 如申請專利範圍第1項的用途,其係選自雙(異丙基胺基)二乙烯基矽烷(BIPADVS)、雙(異丙基胺基)二烯丙 基矽烷、雙(第三丁基胺基胺基)二乙烯基矽烷、雙(第三丁基胺基胺基)二烯丙基矽烷、雙(二乙基胺基)二烯丙基矽烷、雙(二甲基胺基)二乙烯基矽烷、雙(甲基乙基胺基)二烯丙基矽烷、雙(甲基乙基胺基)二乙烯基矽烷、二呱啶基二乙烯基矽烷(Dipiperidinodivinylsilane)、及二吡咯烷基二乙烯基矽烷(Dipyrrolidinodivinylsilane)所組成的群組。
TW100140431A 2008-11-12 2009-11-09 用於應力的SiN膜的胺基乙烯基矽烷前驅物 TWI437117B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11362408P 2008-11-12 2008-11-12
US12/609,542 US8580993B2 (en) 2008-11-12 2009-10-30 Amino vinylsilane precursors for stressed SiN films

Publications (2)

Publication Number Publication Date
TW201211303A TW201211303A (en) 2012-03-16
TWI437117B true TWI437117B (zh) 2014-05-11

Family

ID=41509788

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098137987A TWI412622B (zh) 2008-11-12 2009-11-09 用於應力的SiN膜的胺基乙烯基矽烷前驅物
TW100140431A TWI437117B (zh) 2008-11-12 2009-11-09 用於應力的SiN膜的胺基乙烯基矽烷前驅物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098137987A TWI412622B (zh) 2008-11-12 2009-11-09 用於應力的SiN膜的胺基乙烯基矽烷前驅物

Country Status (6)

Country Link
US (2) US8580993B2 (zh)
EP (2) EP2192207B1 (zh)
JP (2) JP5175261B2 (zh)
KR (2) KR101396139B1 (zh)
CN (2) CN102491990B (zh)
TW (2) TWI412622B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
EP2714960B1 (en) * 2011-06-03 2018-02-28 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20210118284A (ko) * 2020-03-19 2021-09-30 삼성디스플레이 주식회사 표시 장치
CN114447435A (zh) * 2022-01-21 2022-05-06 恒实科技发展(南京)有限公司 用于锂二次电池的非水电解液及其制备方法和应用

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2854787B2 (ja) 1993-08-31 1999-02-03 信越化学工業株式会社 シリコーンゴム組成物の製造方法
JP3430097B2 (ja) 1999-12-22 2003-07-28 日本電気株式会社 薄膜トランジスタアレイ基板の製造方法
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法
JP2004223769A (ja) * 2003-01-20 2004-08-12 Dainippon Printing Co Ltd 透明積層フィルム、反射防止フィルム及びそれを用いた偏光板、液晶表示装置
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
JP2005310861A (ja) 2004-04-19 2005-11-04 Mitsui Chemicals Inc 炭化窒化珪素膜の形成方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006120992A (ja) 2004-10-25 2006-05-11 C Bui Res:Kk シリコン窒化膜の製造方法及びその製造装置
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP2006294485A (ja) 2005-04-13 2006-10-26 Konica Minolta Holdings Inc 有機エレクトロルミネッセンス素子、その製造方法及び表示装置
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
KR101234427B1 (ko) 2005-05-31 2013-02-18 도호 티타늄 가부시키가이샤 아미노실란 화합물, 올레핀류 중합용 촉매 성분 및 촉매 및이것을 이용한 올레핀류 중합체의 제조 방법
JP2007092166A (ja) * 2005-09-02 2007-04-12 Japan Advanced Institute Of Science & Technology Hokuriku 薄膜堆積装置、薄膜堆積方法及び化合物薄膜
US20080142046A1 (en) 2006-12-13 2008-06-19 Andrew David Johnson Thermal F2 etch process for cleaning CVD chambers
US7790635B2 (en) 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
WO2008096616A1 (ja) 2007-02-05 2008-08-14 Konica Minolta Holdings, Inc. 透明ガスバリア性フィルム及びその製造方法
JP5391557B2 (ja) * 2007-02-28 2014-01-15 住友化学株式会社 共役ジエン系重合体、共役ジエン系重合体の製造方法及び共役ジエン系重合体組成物
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films

Also Published As

Publication number Publication date
JP2013016859A (ja) 2013-01-24
US20140065844A1 (en) 2014-03-06
US8580993B2 (en) 2013-11-12
KR101396139B1 (ko) 2014-05-19
JP5175261B2 (ja) 2013-04-03
CN102491990A (zh) 2012-06-13
KR101553863B1 (ko) 2015-09-17
TWI412622B (zh) 2013-10-21
JP5508496B2 (ja) 2014-05-28
EP2192207B1 (en) 2012-06-20
CN101899651A (zh) 2010-12-01
EP2465861A1 (en) 2012-06-20
TW201211303A (en) 2012-03-16
CN101899651B (zh) 2012-12-26
US20100120262A1 (en) 2010-05-13
KR20100053471A (ko) 2010-05-20
JP2010118664A (ja) 2010-05-27
TW201018741A (en) 2010-05-16
EP2192207A1 (en) 2010-06-02
KR20130016171A (ko) 2013-02-14
CN102491990B (zh) 2015-12-09

Similar Documents

Publication Publication Date Title
TWI437117B (zh) 用於應力的SiN膜的胺基乙烯基矽烷前驅物
CN102097364B (zh) 硬掩模材料
US6572923B2 (en) Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
TWI398925B (zh) 氮化硼及氮化硼衍生材料之沉積方法
US20040115876A1 (en) Method of manufacturing silicon carbide film
JP5006428B2 (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
KR100546958B1 (ko) 반도체 장치 및 그 제조 방법
KR20080055610A (ko) Pecvd 유전체막들의 압축 스트레스를 증가시키는 방법
JP4881153B2 (ja) 水素化シリコンオキシカーバイド膜の生成方法。
US6436822B1 (en) Method for making a carbon doped oxide dielectric material
US20180371612A1 (en) Low Temperature Process for Forming Silicon-Containing Thin Layer
EP2302667A1 (en) Insulating film for semiconductor device, process and apparatus for producing insulating film for semiconductor device, semiconductor device, and process for producing the semiconductor device
KR20090119903A (ko) 규소 함유 막 형성용 재료, 및 규소 함유 절연막 및 그의 형성 방법
TW202110862A (zh) 單烷氧基矽烷及使用其製造的密有機二氧化矽膜
JP5731841B2 (ja) シリコン窒化膜の形成方法
TW202111153A (zh) 單烷氧基矽烷及二烷氧基矽烷和使用其製造的密有機二氧化矽膜
US20230103933A1 (en) New precursors for depositing films with elastic modulus
US20220388033A1 (en) Precursors for depositing films with high elastic modulus
CN117737691A (zh) 二氧化硅厚层的沉积
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees