KR100654279B1 - 규소 함유 필름의 침착을 위한 전구체 및 그 방법 - Google Patents

규소 함유 필름의 침착을 위한 전구체 및 그 방법 Download PDF

Info

Publication number
KR100654279B1
KR100654279B1 KR1020040003634A KR20040003634A KR100654279B1 KR 100654279 B1 KR100654279 B1 KR 100654279B1 KR 1020040003634 A KR1020040003634 A KR 1020040003634A KR 20040003634 A KR20040003634 A KR 20040003634A KR 100654279 B1 KR100654279 B1 KR 100654279B1
Authority
KR
South Korea
Prior art keywords
dimethylhydrazino
tris
silane
bis
silicon
Prior art date
Application number
KR1020040003634A
Other languages
English (en)
Other versions
KR20040067950A (ko
Inventor
시아오만차오
호크버그아더케네스
커트힐커크스콧
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20040067950A publication Critical patent/KR20040067950A/ko
Application granted granted Critical
Publication of KR100654279B1 publication Critical patent/KR100654279B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 하기 화학식의 히드라지노실란을 사용하여 기판 상에 질화규소, 산화규소 및 옥시질화규소의 규소 유전체를 위한 전구체를 침착시키는 방법을 제공한다:
[R1 2N-NH]nSi(R2)4-n
상기 식에서, 각각의 R1은 독립적으로 C1 내지 C6의 알킬기 중에서 선택되고; 각각의 R2는 독립적으로 수소, 알킬, 비닐, 알릴 및 페닐로 구성된 군 중에서 선택되며; n은 1 내지 4이다. 히드라지노실란 중 일부는 신규한 전구체이다.

Description

규소 함유 필름의 침착을 위한 전구체 및 그 방법{PRECURSORS FOR DEPOSITING SILICON CONTAINING FILMS AND PROCESSES THEREOF}
도 1은 BTBAS("비스(t-부틸아미노)실란")을 비롯한, 다수의 질화규소 전구체에 대한 침착 속도 대 온도 반전의 그래프이다.
도 2는 굴절률 대 암모니아와 비스(디메틸히드라지노)에틸실란의 비율의 그래프이다.
도 3a는 다양한 아미노실란에 대한 침착 속도 대 산출된 에너지(-110 kcal/mol 내지 -40 kcal/mol)의 그래프이다.
도 3b는 다양한 아미노실란에 대한 침착 속도 및 산출된 에너지(-300 kcal/mol 내지 300 kcal/mol)의 그래프이다.
도 4a는 다양한 아미노실란에 대한 침착 속도 및 산출된 에너지(-35 kcal/mol 내지 -5 kcal/mol)의 그래프이다.
도 4b는 다양한 아미노실란에 대한 침착 속도 및 산출된 에너지(50 kcal/mol 내지 -300 kcal/mol)의 그래프이다.
도 5는 비스(디메틸아미노)에틸실란을 사용한 플라즈마 증강 화학 증착에 대한 굴절률 대 RF 동력이다.
도 6은 비스(디메틸아미노)에틸실란을 사용한 단층 침착의 아레니우스 도면 이다.
관련 출원
본 출원은 2003년 1월 23일 출원된 미국 가출원 번호 60/442,183호의 이익을 향유한다.
발명의 배경
본 발명은 질화규소, 산화규소 및 옥시질화규소의 화학 증착을 위한 신규한 전구체의 부류에 관한 것이다. 특히, 본 발명은 히드라지노실란의 합성 및 집적 회로 장치의 제조에서의 규소 유전 필름을 위한 저온 CVD 전구체로서 그 용도에 관한 것이다. 또한, 이들 전구체는 원자층 침착, 플라즈마 증강 화학 증착 및 대기압 화학 증착에 사용될 수도 있다.
규소 함유 유전체 침착은 집적 회로의 제조에서 중요한 역할을 담당한다. 질화규소는 확산 배리어, 게이트 절연체, 트렌치 절연용 및 커패시터 유전체로서 반도체 장치에 사용할 수 있다. 저온 CVD 공정은 질화규소 필름 제조를 위한 반도체 공업에서 널리 허용되는 방법이다.
반도체 장치의 제조에서, 화학적 불활성 유전 재료, 예컨대 질화규소(Si3N4)의 부동태 박층이 필수적이다. 질화규소의 박층은 고 유전 브레이크다운 전압 및 패시베이션 층을 갖춘 확산 마스크, 산화 배리어, 금속간 유전 재료로 기능한다. 질화물 필름은 MOS 장치에서 측벽 스페이서로 사용되며, 산화물 및 옥시질화물과 함께 IV족 및 II 내지 V족 트랜지스터용 게이트 유전체로 사용된다. 반도체 장치의 제조에서 규소 함유 유전체의 많은 다른 용도는 많이 보고되었다(Semiconductor and Process technology handbook, edited by Gary E. McGuire, Noyes Publication, New Jersey, (1988), pp 289-301; 및 Silicon Processing for the VLSI ERA, Wolf, Stanley and Talbert, Richard N., Lattice Press, Sunset Beach, California (1990), pp 20-22, 327-330 참조).
현 반도체 공업 표준 질화규소 성장법은 디클로로실란 및 암모니아를 사용하여 >750℃에서 고온 벽 반응기에서 저압 화학 증착에 의한다.
다수의 실리콘 웨이퍼에 걸친 질화규소의 침착은 많은 전구체를 사용하여 달성되었다. 디클로로실란 및 암모니아를 사용하는 저압 화학 증착(LPCVD)은 합당한 성장 속도 및 균일성을 얻기 위하여 750℃보다 높은 침착 온도를 요한다. 더 높은 침착 온도는 최상의 필름 특성을 얻는 데 통용된다. 이러한 공정에는 몇 가지 단점이 있는데, 이들 중 일부는 다음과 같다:
i) 실란 및 디클로로실란은 자연 발화성이고 독성인 압축 가스이고;
ii) 디클로로실란으로부터의 필름은 부산물로서 형성되는 오염물, 예컨대 염소 및 염화암모늄을 가진다.
몇 가지 부류의 화학물질이 질화규소 필름 침착용 전구체로서 사용된다. 이들 중에는 실란, 클로로실란, 폴리실라잔, 아미노실란 및 아지도실란이 있다.
일본 특허 제6-132284호에는 암모니아 또는 질소의 존재 하에 플라즈마 증강 화학 증착 및 열 화학 증착에 의해 화학식 (R1R2N)nSiH4-n(식 중, R1 및 R2는 H-, CH3-, C2H5-, C3H7-, 이소-C4H9-이다)으로 표시되는 유기실란을 사용하여 질화규소를 침착시키는 방법이 기재되어 있다. 이 특허에 기재되어 있는 전구체는 3차 아민이고, 본 발명의 경우에서와 같은 NH 결합을 함유하지 않는다. 침착 실험은 400℃ 및 80 내지 100 Torr의 고압에서 단일 웨이퍼 반응기 내에서 수행하였다. 이들 필름의 Si:N 비율은 침착된 필름 내 수소 함량으로 0.9였다(Si3N4 필름의 Si:N 비율은 0.75이다).
문헌(Sorita et al., J. Electro. Chem. Soc., Vol 141, No12, (1994), pp 3505-3511)에는 LPCVD 공정을 이용하여 디클로로실란 및 암모니아를 사용하는 질화규소의 침착 방법이 기재되어 있다. 이 공정에서 주산물은 아미노클로로실란, 질화규소 및 염화암모늄이다. 염화암모늄의 형성은 Si-Cl 함유 전구체의 이용에서 주 결점이 된다. 염화암모늄의 형성은 튜브의 후단부와 연관 라인 및 펌핑 시스템 내에 암화암모늄이 입자가 형성되어 침착하게 된다. 전구체 내에 염소를 함유하는 공정은 NH4Cl을 형성시킨다. 이러한 공정은 자주 세정해야 하고, 반응기를 장기간 중단하게 된다.
문헌(B.A. Scott, J.M. Martnez-Duart, D.B. Beach, T.N. Nguyen, R.D. Estes and R.G. Schad., Chemtonics, 1989, Vol 4, pp 230-234)에는 250 내지 400℃의 온도 범위에서 실란 및 암모니아를 사용하여 LPCVD에 의해 질화규소를 침착시키는 방법이 보고되어 있다. 실란은 자연 발화성 가스이고, 부분압 상 반응으로 인 하여 청정한 질화규소의 침착을 제어하기가 어렵다.
문헌(J.M. Grow, R.A. Levy, X. Fan and M. Bhaskaran, Materials Letters, 23, (1995), pp 187-193)에는 600 내지 700℃의 온도 범위에서 디-t-부틸실란 및 암모니아를 사용하여 LPCVD 공정에 의해 질화규소를 침착시키는 방법이 기재되어 있다. 침착된 질화규소 필름은 탄소 불순물(10 원자%)로 오염되었다. 이것은 주로 전구체 내 직접 Si-C 결합의 존재로 인한 것이다.
문헌(W-C. Yeh, R. Ishihara, S. Moishita and M. Matsumura, Japan. J. Appl. Phys., 35, (1996) pp 1509-1512)에는 350℃ 근방에서 헥사클로로디실란 및 히드라진을 사용하여 규소-질소 필름을 저온 침착시키는 방법이 기재되어 있다. 이 필름은 공기 중에서 불안정하며, 서서히 규소-산소 필름으로 전환된다.
문헌(A.K. Hochberg and D.L. O'Meara, Mat. Res. Soc. Symp. Proc., Vol. 204, (1991), pp 509-514)에는 LPCVD에 의해 디에틸실란을 암모니아 및 산화질소를 사용함으로써 질화규소 및 옥시질화규소를 침착시키는 방법이 보고되어 있다. 이 침착은 650℃ 내지 700℃의 온도 범위에서 수행되었다. 침착은 650℃에서의 침착으로 제한되며, 침착 속도는 더 저온에서 4 Å/분 이하로 강하된다. LPCVD 방법에서, 직접 Si-C 탄소 결합을 함유하는 전구체는 필름 내 탄소 오염을 초래한다. 탄소를 함유하지 않는 침착은 5:1 이상의 NH3 대 전구체 비율을 요한다. 더 낮은 암모니아 농도에서, 이 필름은 탄소를 함유하는 것으로 밝혀졌다. 통상적으로, 디에틸실란 및 암모니아 공정은 웨이퍼를 가로질러 균일성을 개선하기 위하여 차폐된 보트형 또는 온도 경사를 요한다.
미국 특허 제5,234,869호 및 문헌(R.G. Gordon and D.M. Hoffman, Chem. Mater., Vol. 2, (1990), pp 482-484)에는 탄소 수반된 아미노실란, 예컨대 테트라키스(디메틸아미노)실란의 양을 감소시키려는 다른 시도가 개시되어 있다. 침착 온도는 300 내지 100℃ 범위이고, 압력은 1 mTorr 내지 10 Torr 범위이다. 직접 Si-N 결합의 존재 및 Si-C 결합의 부재는 필름 내에 더 낮은 탄소 농도를 제공할 것으로 예상되었다. 그러나, 이 부류의 전구체에는 세 가지 주요 단점이 있다.
1) 이들은 N-메틸기를 함유하며, 메틸기는 CVD 공정 중에 규소 표면으로 용이하게 이동하고, 필름을 탄소로 오염시키는 경향이 있다. 탄소의 양을 감소시키기 위하여 이 공정은 고온(> 700) 및 고 암모니아 비율(> 10:1)을 수반한다. 암모니아 비율이 증가됨에 따라서, 침착 속도는 반응물 고갈로 인하여 극적으로 감소된다.
2) 이들은 NH 결합을 함유하지 않으며, 이들은 2차 실란을 수반하지 않는다.
3) 저온에서, 침착 속도는 균일성은 매우 불량하다(> 5%).
미국 특허 제5,874,368호에는 비스(테트라부틸아미노)실란("BTBAS")을 사용하여 550℃ 이하로 질화물 침착 온도를 감소시키는 본 발명자들의 선행 연구가 기재되어 있다. 이 온도는 금속화의 회로 및 많은 III-V 및 II-VI족 장치 상에서의 침착에 대해 여전히 너무 높다. 또한, 전구체는 공정을 온도에 매우 민감하게 만드는 고 활성화 에너지를 가진다.
반도체 장치 및 저 열 예산의 소형화 추세는 더 낮은 공정 온도 및 더 높은 침착 속도를 요한다. 공정은 BTBAS와 같은 통상의 전구체를 수반하며, 550℃ 이상의 공정 온도를 요한다. 클로로실란은 더 높은 온도를 필요로 한다.
암모니아는 질화규소 CVD에서 질소원으로서 중요한 역할을 한다. 히드라진 및 그 유도체는 규소 표면 질화를 위한 시약으로서 사용되며[6-10], 또한 질소원으로서 암모니아를 대체하여 클로로실란과 반응시키는 데 사용되고 있다[11-13].
히드라지노실란은 수 십년 전에 먼저 합성되었지만[1-2], 이 분야에서 거의 연구되지 않았다. 최근에 일부 연구가들은 고리 히드라지노실란의 화학에 관심을 가져왔다[3-5]. 그러나, 일반적으로 이러한 고리 히드라지노실란은 고분자량을 가지며, 따라서 고비점을 가진다. 고비점 또는 저증기압은 CVD 분야에 덜 바람직할 것이다. 히드라지노실란의 규소 함유 유전 분야, 특히 개환 히드라지노실란에 대해서는 아무런 문헌이 없다.
히드라지노실란의 특이한 반응성은 일반적으로 N-N 결합 연결이 약하기 때문이며, 따라서 균일하게 개열되는 경우이다.
1,1-디메틸히드라진 내 N-N 결합의 결합 에너지(246.9 kJ/mol)는 Me3SiH 내 Si-H 결합의 결합 에너지(377.8 kJ/mol), s-Bu-SiMe3 내 Si-C 결합의 결합 에너지(414 kJ/mol) 및 t-부틸아민 내 N-C 결합의 결합 에너지(362 kJ/mol)보다 훨씬 적다[15].
본 발명은 저 열 조건(400℃ 이하)에서 성장하는 규소 함유 유전체 필름의 형성에 독특한 전구체의 군을 사용하여 선행 기술의 문제점을 극복하였으며, 탄소 오염을 감소시키고, 수소 오염이 낮았다. 또한, 전구체는 매우 낮은 활성화 에너지를 가져서 공정이 온도에 덜 민감하게 하고, 염소 오염을 피하며, 제조 뱃치 노 또 는 단일 웨이퍼 반응기에서 광범위한 압력 범위(10-5 Torr 내지 760 Torr)에 걸쳐서 작동하며, 이하에 더 구체적으로 설명하기로 한다.
본 발명은 하기 화학식의 히드라지노실란을 사용하여 기판 상에 질화규소를 저압 화학 증착하는 공정이다:
[R1 2N-NH]nSi(R2)4-n
상기 식에서, 각각의 R1은 독립적으로 C1 내지 C6 알킬기로 구성된 군 중에서 선택되고; 각각의 R2는 독립적으로 수소, C1 내지 C6 알킬, 비닐, 알릴 및 페닐로 구성된 군 중에서 선택되며; n은 1 내지 4이다.
매우 다양한 "박필름"은 초대형 규모 집적(VLSI) 장치의 제조에 사용된다. 이러한 침착된 박필름은 금속, 반도체 또는 절연체로 이루어질 수 있다. 필름은 열 성장되거나, 또는 LPCVD를 사용하여 증기상으로부터 침착될 수 있다. VLSI 기술은 마이크로프로세서와 랜덤 액세스 메모리 장치 제조에서 다양한 용도를 위해 매우 얇은 절연체를 요한다. 이산화규소는 SiO2/Si 계면에서 침착의 용이성 및 우수한 성질때문에 유전 재료로서 주로 사용되고 있다. 질화규소는 이산화규소와는 다른 이점을 가지며, 그 이점 중 일부는 불순물, 도핑제 내성 확산 배리어, 고 유전 브레 이크다운 전압, 우수한 기계적 성질 및 Si3N4의 고유 불활성을 포함한다. 옥시질화규소는 특정 용도에서 개선된 전기 특성을 가진다.
VLSI 제조에서, 대형 세트의 엄격한 화학, 구조, 공정 및 전기 요건을 충족시킬 필요가 있다. 필름의 순도, 두께, 균일성 및 침착 속도는 장치 내 서브미크론 양태의 제조를 촉진하기 위해 엄격하게 제어되는 매개변수 중 일부이다. 이는 침착 공정이 850℃ 이하의 온도에서 수행될 수 있다면 장치의 제조 및 성능에 주요 이점이 된다. 이러한 온도에서 LPCVD 조건 하에 질화규소를 침착시키기 위한 규소원 재료는 실란 및 디클로로실란으로 제한된다. 안전하고, 신뢰성있는 저온 질화규소원 재료는 다른 기술, 예컨대 평면 패널 디스플레이 장치, 기타 전자 및 비전자 장치 기판 또는 복합 반도체 장치 제조에서의 용도를 가진다.
본 발명은 히드라지노실란이 CVD 전구체로서 전달되기에 충분히 휘발성이고, 이들이 비교적 저온에서 규소 함유 유전 필름을 형성할 수 있다는 것을 입증하였다. 침착 속도는 아미노실란의 침착 속도보다 상당히 더 높다. 또한, 온도 변화에 의한 히드라지노실란으로부터의 질화규소 필름 침착 속도에 대한 효과는 아미노실란보다 훨씬 덜하다. 이 양태는 웨이퍼를 가로질러 온도 변동이 있는 경우, 필름 균일성을 개선시킬 것이다.
본 발명의 히드라지노실란이 사용될 수 있는 공정으로는 화학증착(CVD), 플라즈마 증강 화학 증착(PECVD), 저압 화학 증착(LPCVD) 및 원자층 침착(ALD)에 의한 산화규소, 옥시질화규소 및 질화규소로 구성된 군 중에서 선택되는 재료의 침착이 있다.
본 발명은 하기 화학식으로 표시되는 히드라지노실란의 부류를 사용하는 질화규소, 산화규소 또는 옥시질화규소 침착 공정을 포함한다:
[R1 2N-NH]nSi(R2)4-n
상기 식에서, 각각의 R1은 독립적으로 C1 내지 C6 알킬기로 구성된 군 중에서 선택되고; 각각의 R2는 독립적으로 수소, 알킬, 비닐, 알릴 및 페닐로 구성된 군 중에서 선택되며; n은 1 내지 4이다.
바람직하게는, 각각의 R1은 독립적으로 메틸 및 에틸로 구성된 군 중에서 선택되고, 각각의 R2는 독립적으로 수소, 메틸, 에틸, 프로필, 이소프로필, n-부틸, 이소부틸, t-부틸, 알릴 및 페닐로 구성된 군 중에서 선택된다.
바람직하게는, 히드라지노실란은 질화규소 침착을 위하여 질소, 암모니아, 히드라진 및 이들의 혼합물로 구성된 군 중에서 선택되는 질소원과 반응한다.
많은 히드라지노실란이 질화규소 필름 전구체에 허용되지만, 하기 표 1은 고려되는 예시적인 히드라지노실란을 나타낸다.
Figure 112004002082213-pat00001
적당한 히드라지노실란으로는 (A) 비스(1,1-디메틸히드라지노)메틸실란, (B) 트리스(1,1-디메틸히드라지노)실란, (C) 트리스(1,1-디메틸히드라지노)-t-부틸실란, (D) 트리스(1,1-디메틸히드라지노)-s-부틸실란, (E) 트리스(1,1-디메틸히드라지노)에틸실란, (F) 비스(1,1-디메틸히드라지노)에틸실란, (G) 비스(1,1-디메틸히드라지노)이소프로필실란, (H) 비스(1,1-디메틸히드라지노)알릴실란, (I) 비스(1,1-디메틸히드라지노)실란, (Y) 테트라키스(1,1-디메틸히드라지노)실란, N,N',N"-트리스(디메틸아미노)시클로트리실라잔, N,N',N",N"'-테트라키스(디메틸아미노)시클로트리실라잔, 트리스(1,1-디메틸히드라지노)이소프로필실란 및 트리스(1,1-디메틸히드라지노)알릴실란이 있다. 더 저분자량의 히드라지노실란이 바람직하며, 비고리형 히드라지노실란이 고리형 히드라지노실란보다 바람직하다. 이러한 화합물들은 염기로서 유기아민, 예컨대 에틸아민을 사용하여 해당 클로로실란을 디알킬히드라진과 반응시킴으로써 합성된다.
반도체 기판의 표면 상의 질화규소 필름은 100 내지 800℃ 온도 범위 및 10-5 내지 760 Torr의 압력 범위에서 화학 증착 공정 중에 이들 화합물로부터 발생될 수 있다. 형성된 박필름은 트렌치 내부 단계 커버리지 및 웨이퍼의 두께 균일성이 우수하다.
분자의 질소가 풍부한 특성으로 인하여, 질화규소 필름은 암모니아 또는 다른 첨가제의 포함 유무 하에 발생될 수 있다.
침착된 필름은 우수한 균일성을 가지며, 염화암모늄 및 염소 오염이 없다. 히드라지노실란은 LPCVD에 의한 디클로로실란 및 암모니아 공정의 온도보다 더 낮은 온도에서 질화규소를 침착시키는 특성을 가진다.
히드라지노실란의 현저한 이점은 전구체 내 리간드의 고유 특성으로 인할 수 있다. 이러한 전구체의 열 분해 중에, 이들 리간드는 휘발성 산물로서 용이하게 제거될 수 있다. 히드라지노실란의 다른 이점은 다음과 같이 요약할 수 있다:
(1) 이들은 비-자연 발화 휘발성의 안정한 액체 또는 저융점 휘발성 고체이다.
(2) 이들은 분자 내에 염소를 갖지 않는다. 디클로로실란 내 Si-Cl 결합은 염화암모늄의 형성을 초래하여 튜브의 후단면 내에, 또는 단일 웨이퍼 반응기의 벽 상에 침착하고, 자주 세정해야 한다.
(3) 생성된 질화규소 필름은 러더포드 백스캐터링 분광계(Rutherford Backscattering Spectrometry)로 나타나는 바와 같이 비교적 탄소가 없다.
(4) 히드라지노실란은 우수한 침착 균일성을 제공한다.
(5) 다른 유용한 전구체, 예컨대 디아미노, 디메틸아미노 및 기타 알킬아민의 리간드를 가진 실란과 비교할 때, 이들 전구체를 사용하는 침착 온도는 150 내지 300℃만큼 낮출 수 있다.
다른 전구체 침착 온도, 전구체 및 필름 특성의 비교는 표 2에 제공한다.
전구체 증기압 (Torr, ℃) 침착 온도 (℃) 전구체 및 필름 특성
SiH4 + NH3 상온에서 기체 200-400 플라즈마 공정 자연 발화성 기체. 기상 반응. 저온에서 규소 풍부. 필름은 수소를 함유할 수 있음.
Cl2SiH2 + NH3 상온에서 기체 >750 부식성 기체. 직접 Si-Cl 결합. 염소 오염. 부산물로서 염화암모늄.
(C2H5)2SiH2 + NH3 100, 20 650-725 불량한 균일성. 직접 Si-C 결합. 직접 Si-Cl 결합. 필름 내 탄소 불순물 >2%. 케이지 보트형 및 온도 경사 요할 수 있음.
(t-C4H9)2SiH2 + NH3 20.5, 20 600-700 직접 Si-C 결합. 필름 내 탄소 함량(10 원자%).
[(CH3)2N]3SiR + NH3 R=H 또는 CH3 16, 25 700-1000 직접 Si-C 결합. 필름 내 탄소 함량 >2% 및 고 암모니아 대 공급원 비율(30:1) 요할 수 있음. 불량한 균일도 >5%.
(t-C4H9NH)2SiH2 7.5, 45 500-1000 Si-C 결합없음. 탄소 오염 없음. 양호한 균일성 및 고 침착 속도
히드라지노실란 25, 46 내지 1, 56 100-800 양호한 균일성. 탄소 거의 없음. 매우 높은 침착 속도
하기 표 3은 본 발명의 개발 중에 조사한 화합물을 열거한 것으로, SiNxOy 필름용 전구체로서 사용된, 합성된 화합물 및 추가로 본 발명의 용도에 대해 거의 고려되지 않은 화합물들을 포함한다.
Figure 112004002082213-pat00002
질화규소 필름을 형성하기 위하여, 히드라지노실란과, 임의로 암모니아(또는 질소 또는 히드라진)를 고온(100℃ 내지 800℃가 바람직하지만, 온도는 이 범위보다 더 작거나 클 수 있다)에서 반응기 튜브 내에서 반응시킨다. 반응은 질화규소 박필름을 침착시키기 위하여 웨이퍼 표면 상에서 또는 웨이퍼 표면에 매우 밀접하여 일어날 수 있다. 반응이 기상으로 일어나는 경우(균질 반응), 질화규소의 클러스터가 형성된다. 그러한 경우는 실란 및 암모니아 공정에서 통상적이다. 반응이 웨이퍼 표면에서 일어나는 경우, 형성된 필름은 우수한 균일성을 가진다. 따라서, CVD 용도에 대해 한 가지 중요한 요건은 불균질 반응이 기상 반응에 비해 유리한 정도이다.
CVD 공정은 a) 기상 공정 및 b) 표면 반응 공정으로 분류할 수 있다. 기상 현상은 기체가 기판에 충돌하는 속도이다. 이것은 기체가 유동 기체와 기판 표면의 벌크 영역을 분리하는 경계층을 가로지르는 속도에 의해 모델링된다. 그러한 전달 공정은 기상 확산에 의해 일어나는데, 이는 기체의 확산성과 경계층을 가로지르는 농도 구배에 비례한다. 몇 가지 표면 공정은 기체가 고온 표면에 도달할 경우에 중요할 수 있지만, 일반적으로 표면 반응은 빈도 인자, 활성화 에너지 및 온도의 함수인 속도로 진행하는, 열 활성화된 현상에 의해 모델링될 수 있다.
표면 반응 속도는 온도가 증가함에 따라 증가한다. 소정의 표면 반응에 대하여, 온도는 반응 속도가 반응 화학종이 표면에 도달하는 속도를 초과하도록 충분히 높이 올려줄 수 있다. 그러한 경우, 반응은 반응물 기체가 질량 전달에 의해 기판으로 공급되는 속도보다 더 신속하게 진행할 수 없다. 이는 질량 전달 제한 침착 공정이라고 한다. 저온에서, 표면 반응 속도는 감소되고, 궁극적으로 반응물의 농도는 이들이 표면 반응 공정에 의해 소비되는 속도를 초과한다. 그러한 조건 하에서, 침착 속도는 반응 속도 제한적이다. 따라서, 고온에서 침착은 대체로 질량 전달 제한적인 반면에, 저온에서는 표면 반응 속도 제한적이다. 실제 공정에서, 침착 조건이 이들 성장 방식 중 하나에서 다른 것으로 이동하는 온도는 반응의 활성화 에너지 및 반응기 내 기체 유동 조건에 의존한다. 따라서, 공정 조건 또는 한 압력 방식 또는 온도 방식에서 다른 것으로의 결과를 외삽하기가 어렵다.
반응 속도 제한적 조건 하에서 수행되는 공정에서, 이 고정의 온도는 중요한 매개변수이다. 즉, 반응기를 통한 균일한 침착 속도는 일정한 반응 속도를 유지하는 조건을 요한다. 따라서, 이것은 일정 온도가 모든 웨이퍼 표면 어디에서나 존재해야 함을 의미한다. 반면에, 그러한 조건 하에서, 반응물이 표면에 도달하는 속도는 중요하지 않은데, 그 이유는 농도가 성장 속도를 제한하지 않기 때문이다. 따라서, 반응기가 동일한 플럭스의 반응물을 웨이퍼 표면의 모든 위치에 공급하도록 설계해야 할 만큼 중요하지 않다. LPCVD 반응기에서, 웨이퍼는 매우 밀접한 간격으로 스태킹될 수 있는데, 그 이유는 그러한 시스템이 반응 속도 제한적 모드로 작동하기 때문이다. 이에 대한 이유는 다음과 같다: ∼1 torr의 LPCVD 반응기의 저압 하에서, 기체 화학종의 확산성은 대기압에서 그에 비해 1000의 인자만큼 증가되며, 이것은 그 거리를 가로질러 반응물이 확산되어야 하는 경계층이 압력의 제곱근 미만만큼 증가된다는 사실에 의해 단지 부분적으로 상쇄된다. 순수 효과는 반응물의 전달 및 기판 표면으로부터의 부산물 제거의 10 배 증가 이상이며, 따라서 속도 제 한 단계는 표면 반응이다.
저압 화학 증착 침착 공정(LPCVD)은 10-5 Torr 내지 760 Torr의 압력 범위에서 일어나는 화학 반응을 수반한다. 화학 증착(CVD) 공정은 소정 온도, 압력 및 반응물의 비에서 하기 일련의 단계로 기술될 수 있다:
1) 반응물을 반응 챔버에 도입하며, 필요에 따라 불활성 기체로 희석할 수 있다;
2) 반응물을 기판에 확산시킨다;
3) 반응물을 기판에 흡착시키고, 흡착된 분자는 이동을 수행한다;
4) 화학 반응은 표면 상에서 일어나며, 반응의 기상 부산물을 침착된 필름 뒤로 떨어뜨려 탈착한다. 반응은 몇 가지 방법, 예컨대 열 또는 양자에 의해 개시한다. 열 에너지는 LPCVD 공정에서 사용된다.
수평 튜브 고온 벽 반응기는 VLSI 제조에서 LPCVD에 대해 가장 널리 사용된다. 이것은 폴리-Si, 질화규소, 도핑되거나 도핑되지 않은 이산화규소 필름을 침착시키는 데 사용된다. 이러한 반응기는 이것이 경제적이고, 생산량이 높으며, 침착된 필름이 균일하고, 대직경 웨이퍼(6" 내지 12")를 수용할 수 있기 때문에 널리 사용된다. 그 주요 단점은 미립자 오염물에 대한 민감성과 저 침착 속도이다.
수직 튜브 고온 벽 반응기가 200 mm 직경 및 더 큰 웨이퍼에 대한 많은 공정에서 수평 반응기를 대체하고 있다. 웨이퍼 대 웨이퍼 반응물 고갈 효과를 피하기 위하여 몇 가지 다른 유형의 반응기가 주사 설계로 사용되고 있다. 이것은 온도 경사를 요하지 않으며, 매우 균일한 침착을 산출하고, 저 미립자 오염을 달성할 수 있다고 한다.
반응기 내에 저압 조건을 유도하기 위하여, 적당한 진공 시스템이 필요하다. 적당한 진공 시스템은 회전식 베인 펌프/루트 송풍기 조합 및 다양한 냉간 트랩으로 구성될 수 있다. 반응기 압력은 스로틀 밸브 제어기로의 커패시턴스 나노미터 피드백에 의해 제어된다. 본 발명자들의 연구 반응기 하중은 표준 확산 보트에서 9 mm 간격으로 80 개의 100 mm 직경 실리콘 웨이퍼로 구성된다. 보트는 슬레드 상에 위치시켜 웨이퍼 중심이 반응 튜브의 중심 약간 위에 있도록 한다. 이것은 보트 및 슬레드에 의해 야기되는 전도성 제한에 대해 보상함으로써 웨이퍼 주변부 주위에서 균일한 전도성을 산출한다. 웨이퍼 로드를 가로지른 온도 균일성은 내부 다중 접합부 열전쌍에 의해 측정한다. 웨이퍼 로드 아래의 침착 균일성은 온도 경사에 의해 개선된다. 기체 및 증기는 로드 도어 주변으로부터, 또는 사출기를 통하여 공급된다.
히드라지노실란은 실란 및 디클로로실란보다 취급이 더 안전한 비-자연 발화성 휘발성 액체 또는 저융점 휘발성 고체이다. 침착 공정은 히드라지노실란 및 임의로 암모니아로부터의 증기를 사용하여 바람직하게는 10-5 Torr 내지 760 Torr에서 바람직하게는 100℃ 내지 800℃의 온도 범위에서 수행된다. 임의로, 불활성 기체 희석제, 질소 또는 아르곤은 희석시키거나 반응 속도를 제어하는 데 사용될 수 있다. 암모니아 대 히드라지노실란의 몰 공급비는 필름 특성을 개질하는 데 사용되며, 본 발명자들은 0 내지 100:1 암모니아 대 히드라지노실란을 사용하였다.
실시예 1: 비스(1,1-디메틸히드라지노)메틸실란의 합성
2 개의 첨가 깔대기, 콘덴서 및 기계 교반기가 장착된 2000 ㎖ 삼구 둥근 바닥 플라스크에 헥산 500 ㎖ 및 트리에틸아민 303 g을 첨가하였다. 빙욕으로 냉각시키고 질소 분위기로 보호하면서, 헥산 100 ㎖ 중의 메틸디클로로실란 115 g의 용액 및 헥산 50 ㎖ 중의 1,1-디메틸히드라진 150 g의 용액을 대략 동일 속도로 2 개의 첨가 깔대기를 통해 가하였다. 그 다음, 반응 혼합물을 실온으로 가온하고, 밤새도록 교반하였다. 질소 분위기 중에서 반응 혼합물을 여과하고, 고체 아민염을 헥산으로 세척하였다. 용매 및 과량의 아민을 진공 증류에 의해 제거하였다. 진공 증류로 비스(1,1-디메틸히드라지노)메틸실란 125 g이 생성되었다. 비점 45℃/22 Torr.
실시예 2: 비스(1,1-디메틸히드라지노)에틸실란의 합성
2 개의 첨가 깔대기, 콘덴서 및 기계 교반기가 장착된 5000 ㎖ 삼구 둥근 바닥 플라스크에 헥산 1500 ㎖ 및 트리에틸아민 450 g을 첨가하였다. 빙욕으로 냉각시키고 질소 분위기로 보호하면서, 헥산 500 ㎖ 중의 에틸트리클로로실란 200 g의 용액 및 1,1-디메틸히드라진 196 g을 대략 동일 속도로 2 개의 첨가 깔대기를 통해 가하였다. 그 다음, 반응 혼합물을 실온으로 가온하고, 밤새도록 교반하였다. 질소 분위기 중에서 반응 혼합물을 여과하고, 고체 아민염을 헥산으로 세척하였다. 용매 및 과량의 아민을 진공 증류에 의해 제거하였다. 진공 증류로 비스(1,1-디메틸히드라지노)에틸실란 154 g이 생성되었다. 비점 40℃/10 Torr.
실시예 3: 트리스(1,1-디메틸히드라지노)-t-부틸실란의 합성
2 개의 첨가 깔대기, 콘덴서 및 기계 교반기가 장착된 2000 ㎖ 삼구 둥근 바닥 플라스크에 헥산 800 ㎖ 및 트리에틸아민 125 g을 첨가하였다. 빙욕으로 냉각시 키고 질소 분위기로 보호하면서, 헥산 100 ㎖ 중의 t-부틸트리클로로실란 48 g의 용액 및 헥산 100 ㎖ 중의 1,1-디메틸히드라진 50 g의 용액을 대략 동일 속도로 2 개의 첨가 깔대기를 통해 가하였다. 그 다음, 반응 혼합물을 실온으로 가온하고, 밤새도록 교반하였다. 질소 분위기 중에서 반응 혼합물을 여과하고, 고체 아민염을 헥산으로 세척하였다. 용매 및 과량의 아민을 진공 증류에 의해 제거하였다. 진공 증류로 트리스(1,1-디메틸히드라지노)-t-부틸실란 30 g이 생성되었다. 비점 56℃/2 Torr. 1H NMR 1.19(s, 9H), 2.34(s, 18H).
실시예 4: 트리스(1,1-디메틸히드라지노)이소부틸실란의 합성
2 개의 첨가 깔대기, 콘덴서 및 기계 교반기가 장착된 5000 ㎖ 삼구 둥근 바닥 플라스크에 헥산 1500 ㎖ 및 트리에틸아민 400 g을 첨가하였다. 빙욕으로 냉각시키고 질소 분위기로 보호하면서, 헥산 200 ㎖ 중의 이소부틸트리클로로실란 200 g의 용액 및 1,1-디메틸히드라진 240 g의 용액을 대략 동일 속도로 2 개의 첨가 깔대기를 통해 가하였다. 그 다음, 반응 혼합물을 실온으로 가온하고, 밤새도록 교반하였다. 질소 분위기 중에서 반응 혼합물을 여과하고, 고체 아민염을 헥산으로 세척하였다. 용매 및 과량의 아민을 진공 증류에 의해 제거하였다. 진공 증류로 트리스(1,1-디메틸히드라지노)이소부틸실란 170 g이 생성되었다. 비점 61℃/2 Torr.
실시예 5: 트리스(1,1-디메틸히드라지노)에틸실란의 합성
2 개의 첨가 깔대기, 콘덴서 및 기계 교반기가 장착된 5000 ㎖ 삼구 둥근 바닥 플라스크에 헥산 2000 ㎖ 및 트리에틸아민 500 g을 첨가하였다. 빙욕으로 냉각시키고 질소 분위기로 보호하면서, 헥산 100 ㎖ 중의 에틸트리클로로실란 190 g의 용액 및 1,1-디메틸히드라진 290 g의 용액을 대략 동일 속도로 2 개의 첨가 깔대기를 통해 가하였다. 그 다음, 반응 혼합물을 실온으로 가온하고, 밤새도록 교반하였다. 질소 분위기 중에서 반응 혼합물을 여과하고, 고체 아민염을 헥산으로 세척하였다. 용매 및 과량의 아민을 진공 증류에 의해 제거하였다. 진공 증류로 트리스(1,1-디메틸히드라지노)에틸실란 153 g이 생성되었다. 비점 51℃/2 Torr.
실시예 6: 테트라키스(1,1-디메틸히드라지노)실란의 합성
2 개의 첨가 깔대기, 콘덴서 및 기계 교반기가 장착된 5000 ㎖ 삼구 둥근 바닥 플라스크에 헥산 2000 ㎖ 및 1,1-디메틸히드라진 600 g을 첨가하였다. 빙욕으로 냉각시키고 질소 분위기로 보호하면서, 헥산 350 ㎖ 중의 사염화규소 170 g의 용액을 서서히 가하였다. 그 다음, 반응 혼합물을 실온으로 가온하고, 밤새도록 교반하였다. 질소 분위기 중에서 반응 혼합물을 여과하고, 고체 염을 헥산으로 세척하였다. 용매를 감압 하에 부분 제거하고, 용액을 약 1 리터의 부피로 농축시켰다. 고체가 용액으로부터 침전하기 시작할 때 용액을 냉장고에 넣었다. 고체 생성물을 여과하고, 진공 건조시켰다. 테트라키스(1,1-디메틸히드라지노)실란 185 g을 얻었다. 융점 63℃.
실시예 7: 트리스(디메틸히드라지노)-t-부틸실란 및 암모니아의 LPCVD
이 공정은 LPCVD 조건(20 mTorr 내지 2 Torr의 저압 범위) 하에 트리스(디메틸히드라지노)-t-부틸실란(TDMHtBS)의 열 분해 또는 전구체와 암모니아의 반응을 수반한다. 전구체와 암모니아(또는 질소 희석제)를 도어에 위치한 사출기에 의하여 가열된 반응기(200 내지 800℃)로 도입한다. 암모니아(또는 질소) 대 규소원은 1:1 내지 10:1 범위의 비율로 유지시킨다. 이들 필름은 집적 회로 제조에 적당하다. 통상적인 실행은 150 mm 고온 벽 LPCVD 수평 튜브 반응기에서 수행하였지만, 장치 구조는 중요하지 않다. 이 공정은 석영 반응기에 75 내지 100 실리콘 웨이퍼를 로딩하는 단계; 상기 시스템을 배기하는 단계; 웨이퍼를 침착이 수행되는 소정 온도에 놓이게 하는 단계를 수반한다. 이 반응에 요구되는 에너지는 간단한 저항성 가열에 의해 공급할 수 있다. 이는 장치가 덜 고가이고, 종종 플라즈마 반응기와 관련된 방사 필름 손상을 피할 수 있기 때문에 유리하다.
통상적인 실행은 500℃ 및 400 mTorr에서 TDMHtBS 30 sccm과 NH3 60 sccm으로 수행하였다. 평균 침착 속도는 80 웨이퍼에 걸쳐서 11.5 Å/분이었다. 필름은 적외선 분광계 및 굴절률로 특성화한다. FT-IR 스펙트럼은 다른 질화물 전구체, 예컨대 디클로로실란 + 암모니아로부터 침착된 질화규소 필름과 일치한다. 2100 cm-1에서의 Si-H 신장 영역에서 중간 흡수 대역이 있으며, 870 cm-1에서 강한 Si-N 신장이 있다. 그러나, 이들 필름은 저온 및 저 암모니아 비에서 다소 다공성이어서 반응기로부터의 제거 후 약간의 산화가 있다. 이들 필름에 대한 굴절률은 632.4 nm에서 타원 편광계에 의해 측정하였으며, 굴절률은 다공성으로 인하여 > 1.7 내지 약 1.6이었다. 질화규소 필름은 러더포드 백스캐터링 분석에 의해 특성화하였다. 이들 필름에 대한 규소, 탄소, 질소 및 산소 함량을 측정하였다. 질화규소의 조성은 침착 후 약 1:1.1 규소 대 질소였다. 이들 필름의 조성은 필름 깊이에 걸쳐서 균일하 였다. 탄소는 검출 한계(1 내지 2 원자%)였지만, 대부분은 공기 오염에 의한 것이었다.
실시예 8: 트리스(디메틸히드라지노)에틸실란 및 암모니아의 LPCVD
이 공정은 실시예 7과 유사한 LPCVD 조건(20 mTorr 내지 2 Torr) 하에 트리스(디메틸히드라지노)에틸실란(TDMHES)의 열 분해 또는 암모니아와의 반응을 수반한다. 통상적인 실행은 500℃ 및 400 mTorr에서 TDMHES 30 sccm과 NH3 60 sccm으로 수행하였다. 평균 침착 속도는 80 웨이퍼에 걸쳐서 20 Å/분이었다. RBS 분석으로 이들 필름이 규소가 풍부한 것으로 나타났는데, 이는 MEMS용 저응력 질화물을 얻는데 전제가 되는 것이다.
실시예 9: 비스(디메틸히드라지노)메틸실란 및 암모니아의 LPCVD
이 공정은 실시예 7과 유사한 LPCVD 조건(20 mTorr 내지 2 Torr) 하에 비스(디메틸히드라지노)메틸실란(BDMHMS)의 열 분해 또는 암모니아와의 반응을 수반한다. 통상적인 실행은 500℃ 및 500 mTorr에서 BDMHMS 70 sccm과 N2 120 sccm으로 수행하였다. 평균 침착 속도는 80 웨이퍼에 걸쳐서 8.5 Å/분이었다. FTIR 분석으로 이들 필름이 질소가 풍부하고 저밀도를 갖는 것으로 나타났으며, 870 cm-1에서 Si-N 신장을 가졌다.
실시예 10: 비스(디메틸히드라지노)에틸실란 및 암모니아의 LPCVD
이 공정은 실시예 7과 유사한 LPCVD 조건(20 mTorr 내지 2 Torr) 하에 비스(디메틸히드라지노)에틸실란(BDMHES)의 열 분해 또는 암모니아와의 반응을 수반한 다. 통상적인 실행은 500℃ 및 400 mTorr에서 BDMHES 34 sccm과 NH3 60 sccm으로 수행하였다. 평균 침착 속도는 80 웨이퍼에 걸쳐서 11.5 Å/분이었다. 타원 편광계로 이들 침착물이 저밀도 내지 중밀도를 가지며, 굴절률이 1.75로, 심각한 경시적 변화가 없는 것으로 나타났다. 추가 침착 속도 대 반전 온도는 도 1에 나타내며, 이들은 아미노실란을 사용한 침착 결과와 비교하였다.
실시예 11: 비스(디메틸히드라지노)에틸실란 및 암모니아의 PECVD
이 공정은 단일 웨이퍼 플라즈마 반응기에서 비스(디메틸히드라지노)에틸실란(BDMHES) 및 암모니아를 사용하는 플라즈마 증강 화학 증착을 수반한다. 통상적인 실행은 420℃ 및 1000 mTorr에서 BDMHES 7 sccm과 NH3 300 sccm으로 수행하였다. 2.2 와트/㎠의 평균 RF 동력에 대하여, 평균 침착 속도는 85 Å/분이고, 굴절률은 1.92였다. FTIR 분석으로 이들 침착물이 고밀도를 갖는 것으로 나타났으며 860 cm-1에서 Si-N 신장을 가졌다. 추가 데이타는 370℃ 및 420℃의 공칭 온도에서의 침착에 대한 도 5에 나타낸다.
실시예 12: 비스(디메틸히드라지노)에틸실란 및 암모니아의 LPCVD
이 공정은 비스(디메틸히드라지노)에틸실란(BDMHES) 및 암모니아를 사용하는 단일 웨이퍼 반응기에서의 저압 화학 증착을 수반한다. 통상적인 실행은 370℃ 및 2000 mTorr에서 BDMHES 5.5 sccm과 NH3 11 sccm으로 수행하였다. 평균 침착 속도는 15 Å/분이rh, 굴절률은 1.73이었다. FTIR 분석으로 이들 침착물은 저밀도 내지 중 밀도를 갖는 것으로 나타났으며 872 cm-1에서 Si-N 신장을 가졌다.
추가 침착 데이타는 도 6에 2 Torr 및 8 Torr 공정 압력에서 단일 웨이퍼 반응기에서의 이 화합물("F")에 대하여 나타낸다.
본 발명을 몇 가지 구체예에 관하여 설명하였지만, 본 발명의 전체 범주는 하기 청구범위로부터 확정해야 할 것이다.
본 발명은 히드라지노실란의 합성 및 집적 회로 장치의 제조에서의 규소 유전 필름을 위한 저온 CVD 전구체로서 그 용도를 제공한다. 또한, 이들 전구체는 원자층 침착, 플라즈마 증강 화학 증착 및 대기압 화학 증착에 사용될 수도 있다.
참고 문헌
[1] Sergeeva, Z. et al., "Synthesis of alkyl- and dialkylbis(1,1-dialkylhydrazino)Silanes", J. General Chemistry of the USSR, 1960, 30, 716-719.
[2] Sergeeva, Z., Tszyan-Ian, S., "Reaction of Unsymmetrical Dialkylhydrazines with Alkylchlorohydrosilanes", J. General Chemistry of the USSR, 1963, 33, 1823-1826.
[3] Soldner, M., Riede, J., Schier, A., Schmidbaur, H., "Isomeric Cyclic Dislanediylhydrazines", Inorg. Chem., 1998, 37, 601-603.
[4] Mitzel, N.W., Bissinger, P., Riede, J., Dreihaupl, K., Schmidbaur, H., "Two different cyclization modes in the formation of silylhydrazines", Organometallics, 1993, 12, 413-416.
[5] Mitzel, N.W., Hofman, M. Angermaiser, K., Schier, A. Schleyer, P., Schmidbaur, H., "Cyclic silylhydrazines and their borane adducts", Inorg. Chem., 1995, 34, 4840-4845.
[6] Seiichi Takami, et al., "Monolayer nitridation of silicon surfaces by a dry chemical process using dimethylhydrazine or ammonia", Appl. Phys. Lett., 1995, 66(12), 1527-1529.
[7] 미국 특허 제6,350,708호(2000)
[8] 미국 특허 제6,204,206호
[9] 미국 특허 제6,127,287호
[10] 미국 특허 제5,939,333호(1999)
[11] 미국 특허 제6,365,231호
[12] 미국 특허 제6,146,938호
[13] 미국 특허 제6,284,583호
[14] 미국 특허 제5,976,991호
[15] David R. Lide, "Handbook of Chemistry and Physics", 81st Ed., 2001, CRC.

Claims (30)

  1. 비스(1,1-디메틸히드라지노)메틸실란, 트리스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)-t-부틸실란, 트리스(1,1-디메틸히드라지노)-s-부틸실란, 트리스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)알릴실란, 비스(1,1-디메틸히드라지노)실란, 테트라키스(1,1-디메틸히드라지노)실란, N,N',N"-트리스(디메틸아미노)시클로트리실라잔, N,N',N",N"'-테트라키스(디메틸아미노)시클로트리실라잔, 트리스(1,1-디메틸히드라지노)이소프로필실란, 트리스(1,1-디메틸히드라지노)알릴실란 및 이들의 혼합물로 구성된 군 중에서 선택된 히드라지노실란을 사용하여 기판 상에 질화규소를 화학 증착시키는 방법.
  2. 삭제
  3. 제1항에 있어서, 상기 기판의 온도는 100 내지 800℃ 범위인 방법.
  4. 제1항에 있어서, 상기 압력은 10-5 Torr 내지 760 Torr 범위인 방법.
  5. 제1항에 있어서, 상기 히드라지노실란은 질소, 암모니아, 히드라진, 아민 및 이들의 혼합물로 구성된 군 중에서 선택되는 질소원과 반응시키는 것인 방법.
  6. 삭제
  7. 제1항에 있어서, 상기 기판은 실리콘인 방법.
  8. 제1항에 있어서, 상기 기판은 전자 장치인 방법.
  9. 제1항에 있어서, 상기 기판은 평면 패널 디스플레이인 방법.
  10. 삭제
  11. 제1항에 있어서, 화학 증착에 의해 질화규소를 침착시키는 것인 방법.
  12. 제1항에 있어서, 화학 증착에 의해 옥시질화규소를 침착시키는 것인 방법.
  13. 제1항에 있어서, 플라즈마 증강 화학 증착에 의해 질화규소를 증착시키는 것인 방법.
  14. 제1항에 있어서, 플라즈마 증강 화학 증착에 의해 산화규소를 증착시키는 것인 방법.
  15. 제1항에 있어서, 플라즈마 증강 화학 증착에 의해 옥시질화규소를 증착시키는 것인 방법.
  16. 제1항에 있어서, 원자층 침착에 의해 산화규소, 옥시질화규소 및 질화규소로 구성된 군 중에서 선택되는 재료를 침착시키는 것인 방법.
  17. 반응 구역 내 질화규소의 저온 화학 증착 방법으로서,
    a) 기판을 반응 구역 내에서 100 내지 800℃ 범위의 온도로 가열하는 단계;
    b) 상기 구역 내 10-5 Torr 내지 760 Torr 범위의 압력에서 기판을 진공 중에 유지시키는 단계;
    c) 하기 화학식의 히드라지노실란을 상기 구역으로 도입하는 단계:
    [R1 2N-NH]nSi(R2)4-n
    (상기 식에서, 각각의 R1은 독립적으로 C1 내지 C6 알킬기로 구성된 군 중에서 선택되고; 각각의 R2는 독립적으로 수소, 알킬, 알릴 및 페닐로 구성된 군 중에서 선택되며; n은 1 내지 4이다) 및
    d) 질화규소의 필름이 기판 상에 침착되기에 충분한 a) 단계 내지 c) 단계의 조건을 유지시키는 단계
    를 포함하는 방법.
  18. 제17항에 있어서, 상기 히드라지노실란은 비스(1,1-디메틸히드라지노)메틸실란, 트리스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)-t-부틸실란, 트리스(1,1-디메틸히드라지노)-s-부틸실란, 트리스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)알릴실란, 비스(1,1-디메틸히드라지노)실란, 테트라키스(1,1-디메틸히드라지노)실란, N,N',N"-트리스(디메틸아미노)시클로트리실라잔, N,N',N",N"'-테트라키스(디메틸아미노)시클로트리실라잔, 트리스(1,1-디메 틸히드라지노)이소프로필실란, 트리스(1,1-디메틸히드라지노)알릴실란 및 이들의 혼합물로 구성된 군 중에서 선택되는 것인 방법.
  19. 제17항에 있어서, 상기 히드라지노실란은 질소, 암모니아, 히드라진 및 이들의 혼합물로 구성된 군 중에서 선택되는 질소원과 반응시키는 것인 방법.
  20. 트리스(1,1-디메틸히드라지노)실란, 트리스(1,1-디메틸히드라지노)-t-부틸실란, 트리스(1,1-디메틸히드라지노)-s-부틸실란, 트리스(1,1-디메틸히드라지노)에틸실란, 비스(1,1-디메틸히드라지노)이소프로필실란, 비스(1,1-디메틸히드라지노)알릴실란, 비스(1,1-디메틸히드라지노)실란, 테트라키스(1,1-디메틸히드라지노)실란, N,N',N"-트리스(디메틸아미노)시클로트리실라잔, N,N',N",N"'-테트라키스(디메틸아미노)시클로트리실라잔, 트리스(1,1-디메틸히드라지노)이소프로필실란 및 트리스(1,1-디메틸히드라지노)알릴실란으로 구성된 군 중에서 선택되는 조성물.
  21. 트리스(1,1-디메틸히드라지노)실란을 포함하는 조성물.
  22. 트리스(1,1-디메틸히드라지노)-t-부틸실란을 포함하는 조성물.
  23. 트리스(1,1-디메틸히드라지노)-s-부틸실란을 포함하는 조성물.
  24. 비스(1,1-디메틸히드라지노)이소프로필실란을 포함하는 조성물.
  25. 비스(1,1-디메틸히드라지노)알릴실란을 포함하는 조성물.
  26. 비스(1,1-디메틸히드라지노)실란을 포함하는 조성물.
  27. 테트라키스(1,1-디메틸히드라지노)실란을 포함하는 조성물.
  28. N,N',N"-트리스(디메틸아미노)시클로트리실라잔을 포함하는 조성물.
  29. 트리스(1,1-디메틸히드라지노)이소프로필실란을 포함하는 조성물.
  30. 트리스(1,1-디메틸히드라지노)알릴실란을 포함하는 조성물.
KR1020040003634A 2003-01-23 2004-01-19 규소 함유 필름의 침착을 위한 전구체 및 그 방법 KR100654279B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US44218303P 2003-01-23 2003-01-23
US60/442,183 2003-01-23
US10/695,379 US7122222B2 (en) 2003-01-23 2003-10-27 Precursors for depositing silicon containing films and processes thereof
US10/695,379 2003-10-27

Publications (2)

Publication Number Publication Date
KR20040067950A KR20040067950A (ko) 2004-07-30
KR100654279B1 true KR100654279B1 (ko) 2006-12-08

Family

ID=32600303

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040003634A KR100654279B1 (ko) 2003-01-23 2004-01-19 규소 함유 필름의 침착을 위한 전구체 및 그 방법

Country Status (6)

Country Link
US (2) US7122222B2 (ko)
EP (1) EP1441042A1 (ko)
JP (1) JP3954577B2 (ko)
KR (1) KR100654279B1 (ko)
CN (1) CN1518076A (ko)
TW (1) TWI248472B (ko)

Families Citing this family (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7819903B2 (en) * 2003-03-31 2010-10-26 Depuy Spine, Inc. Spinal fixation plate
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) * 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
GB0412790D0 (en) * 2004-06-08 2004-07-14 Epichem Ltd Precursors for deposition of silicon nitride,silicon oxynitride and metal silicon oxynitrides
US20060182885A1 (en) 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
JP4854245B2 (ja) * 2005-09-22 2012-01-18 東京エレクトロン株式会社 半導体装置の製造方法
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR101639432B1 (ko) 2008-10-20 2016-07-13 다우 코닝 코포레이션 Cvd 전구체
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120110115A1 (en) * 2010-04-30 2012-05-03 Qualcomm Incorporated Exchanging Data Associated With A Communication Session Within A Communications System
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
SG11201602301WA (en) 2013-09-27 2016-04-28 Antonio Sanchez Amine substituted trisilylamine and tridisilylamine compounds
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN104451607B (zh) * 2014-12-01 2017-04-12 西安电子科技大学 提高lpcvd沉积bpsg薄膜均匀性的工艺优化方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10424585B2 (en) * 2016-01-21 2019-09-24 International Business Machines Corporation Decoupling capacitor on strain relaxation buffer layer
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11492364B2 (en) * 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4577039A (en) * 1985-07-15 1986-03-18 Petrarch Systems Inc. Method of preparing hexamethylcyclotrisilazane
FR2625211A1 (fr) * 1987-12-28 1989-06-30 Atochem Polysiloxazanes, leur procede de preparation, leur utilisation comme precurseurs de ceramiques et lesdites ceramiques
FR2633301A1 (fr) 1988-06-22 1989-12-29 Atochem Polysilazanes, leur procede de preparation, leur utilisation comme precurseurs de ceramiques et lesdites ceramiques
FR2635528A1 (fr) * 1988-08-17 1990-02-23 Atochem Procede de preparation de polyhydrosilazanes derives d'hydrazine et l'utilisation desdits silazanes comme precurseurs de ceramique
FR2639937B1 (fr) * 1988-12-07 1991-03-22 Atochem Compositions de precurseurs de ceramiques a base de polysilazanes et ceramiques obtenues par pyrolyse desdites compositions
JPH036566A (ja) 1989-06-02 1991-01-14 Mitsubishi Electric Corp エキシマレーザによるパターン形成方法
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5429673A (en) * 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2000080476A (ja) 1998-06-26 2000-03-21 Toshiba Corp 気相成長方法および気相成長装置およびハロゲン化アンモニウム除去装置
US6146938A (en) 1998-06-29 2000-11-14 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005294791A (ja) * 2004-03-09 2005-10-20 Nec Corp 不揮発性メモリ及び不揮発性メモリの製造方法
US7488690B2 (en) * 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control

Also Published As

Publication number Publication date
JP2004228585A (ja) 2004-08-12
US7122222B2 (en) 2006-10-17
JP3954577B2 (ja) 2007-08-08
CN1518076A (zh) 2004-08-04
EP1441042A1 (en) 2004-07-28
US7288145B2 (en) 2007-10-30
US20070004931A1 (en) 2007-01-04
TWI248472B (en) 2006-02-01
KR20040067950A (ko) 2004-07-30
TW200413560A (en) 2004-08-01
US20040146644A1 (en) 2004-07-29

Similar Documents

Publication Publication Date Title
KR100654279B1 (ko) 규소 함유 필름의 침착을 위한 전구체 및 그 방법
KR100318978B1 (ko) 비스(3차부틸아미노)실란을이용한질화규소의화학증착방법
KR100323628B1 (ko) 비스(t-부틸아미노)실란을 사용하여 이산화규소 및 옥시질화규소를 침착시키는 방법
US7786320B2 (en) Composition and method for low temperature deposition of silicon-containing films such as films including silicon, silicon nitride, silicon dioxide and/or silicon-oxynitride
US4992306A (en) Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US9783558B2 (en) Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
KR101470067B1 (ko) 규소 함유 막을 침착시키기 위한 전구체 및 이를 제조하고 사용하는 방법
US4981724A (en) Deposition of silicon oxide films using alkylsilane liquid sources
EP1630249A2 (en) Process for chemical vapor deposition of silicon nitride.
EP1567531A2 (en) Composition and method for low temperature deposition of silicon-containing films such as films including silicon, silicon nitride, silicon dioxide and/or silicon-oxynitride
CN110431204B (zh) 沉积含硅薄膜的含双(氨基甲硅烷基)烷基胺化合物的组合物及使用其制造含硅薄膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee