JP3954577B2 - ケイ素含有膜を付着させるための前駆体およびそのプロセス - Google Patents

ケイ素含有膜を付着させるための前駆体およびそのプロセス Download PDF

Info

Publication number
JP3954577B2
JP3954577B2 JP2004015984A JP2004015984A JP3954577B2 JP 3954577 B2 JP3954577 B2 JP 3954577B2 JP 2004015984 A JP2004015984 A JP 2004015984A JP 2004015984 A JP2004015984 A JP 2004015984A JP 3954577 B2 JP3954577 B2 JP 3954577B2
Authority
JP
Japan
Prior art keywords
dimethylhydrazino
tris
bis
silane
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004015984A
Other languages
English (en)
Other versions
JP2004228585A (ja
Inventor
シャオ マンチャオ
ケネス ホックバーグ アーサー
スコット カシル カーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2004228585A publication Critical patent/JP2004228585A/ja
Application granted granted Critical
Publication of JP3954577B2 publication Critical patent/JP3954577B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本願は2003年1月23日に出願された米国仮出願第60/442,183号の利益を主張する。
本発明は、窒化ケイ素(silicon nitride)、酸化ケイ素(silicon oxide)および酸窒化ケイ素(silicon oxynitride)の化学蒸着用の新規な前駆体のクラスに関する。特に、本発明はヒドラジノシラン類の合成ならびに集積回路デバイスの製造におけるケイ素誘電体膜のための低温CVD前駆体としてのヒドラジノシラン類の用途に関する。これらの前駆体は、原子層付着(atomic layer deposition)、プラズマ助長化学蒸着および大気圧化学蒸着のためにも使用し得る。
ケイ素含有誘電体付着(silicon-containing dielectric deposition)は集積回路の製造において重要な役割をする。窒化ケイ素は、拡散バリヤ、ゲート絶縁体、トレンチ分離用およびキャパシタ誘電体として、半導体デバイス上で用いることができる。低温CVDプロセスは、窒化ケイ素膜製造のために半導体産業により広く受け入れられている方法である。
半導体デバイスの製造において、化学的に不活性な誘電体物質、例えば窒化ケイ素(Si34)、の薄い受動層が必要不可欠である。窒化ケイ素の薄層は、拡散マスク、酸化バリヤ、高絶縁破壊電圧をもった金属間誘電体材料および表面不活性化(passivation)層として機能する。これら窒化物膜はMOSデバイス内の側壁スペーサーとして、また酸化物および酸窒化物(oxynitride)と共に、IV族およびII−V族トランジスタ用のゲート誘電体として用いられる。半導体デバイスの製造におけるケイ素含有誘電体の他の多くの用途が他にも報告されている:Semiconductor and Process technology handbook, Gary E. edited by McGuire, Noyes Publication, New Joursey, (1988), pp.289-301;およびSilicon Processing for the VLSI ERA, Wolf, Stanley, and Talbert, Richard N., Lattice Press, Sunset Beach, California (1990), pp 20-22, 327-330。
現在の半導体産業の標準的な窒化ケイ素成長方法は、ジクロロシランおよびアンモニアを用いて、750℃よりも高い温度のホットウォール反応器内で低圧化学蒸着によるものである。
多数のシリコンウェハ上での窒化ケイ素の付着(deposition)は、多くの前駆体を用いて実現される。ジクロロシランおよびアンモニアを用いる低圧化学蒸着(LPCVD)は妥当な成長速度および均一性を得るために750℃よりも高い蒸着温度を必要とする。高い蒸着温度は、一般に、最良の膜性質を得るために用いられる。しかしながら、これらのプロセスには幾つかの欠点があり、そのうちの一部として以下のことが挙げられる。
i)シランおよびジクロロシランは自然発火性、毒性のある圧縮ガスであること;及び
ii)ジクロロシランから形成される膜は、例えば塩素および塩化アンモニウムのような副生成物として形成される汚染物を有すること。
幾つかのクラスの化学薬品が窒化ケイ素膜の付着のための前駆体として用いられる。特に、シラン類、クロロシラン類、ポリシラザン類、アミノシラン類およびアジドシラン類が用いられる。
特開平6−132284号公報(特許文献1)には、アンモニアまたは窒素の存在下、プラズマCVDおよび熱CVDによる、一般式(R12N)nSiH4-n の有機シラン類(式中、R1 およびR2 はH−、CH3−、C25−、C37−、イソ−C49−より選ばれる)を用いた窒化ケイ素の蒸着が記載されている。ここで記載されている前駆体は第3級アミン類であり、本願発明の前駆体のようなN−H結合を含有しない。蒸着実験は、80〜101トル(Torr)(10〜13kPa)という高い圧力、400℃の単一ウェハ反応器内で行われている。これらの膜のSi:N比は0.9(Si34膜のSi:N比は0.75)であり、付着膜は水素を含有している。
Sorita et al., J. Electro. Chem. Soc., Vol 141, No 12, (1994), pp 3505-3511(非特許文献1)には、LPCVDプロセスを使用するジクロロシランおよびアンモニアを用いた窒化ケイ素の蒸着が記載されている。このプロセスの主生成物はアミノクロロシラン、窒化ケイ素および塩化アンモニウムである。塩化アンモニウムの生成は、Si−Cl含有前駆体の主な欠点である。塩化アンモニウムの生成は、チューブのバックエンドでの並びに配管系および排気系での塩化アンモニウムの粒子形成および付着を招く。前駆体中に塩素を含有するプロセスは結果としてNH4Cl 生成を生じる。これらのプロセスは頻繁にクリーニングを行う必要があり、反応器の長時間のダウン時間を招く。
B.A. Scott, J.M. Martnez-Duart, D.B. Beach, T.N. Nguyen, R.D. Estes and R.G. Schad., Chemtronics, 1989, Vol. 4, pp 230-234(非特許文献2)は、250〜400℃の温度でのLPCVDによるシランおよびアンモニアを用いた窒化ケイ素の蒸着を報告している。シランは自然発火性ガスであり、部分気相反応に起因して、きれいな窒化ケイ素の付着のための制御を行うことが困難である。
J.M. Grow, R.A. Levy, X. Fan and M. Bhaskaran, Materials Letters, 23, (1995), pp 187-193(非特許文献3)には、600〜700℃の温度範囲でのLPCVDプロセスによるジ(t−ブチル)シランおよびアンモニアを用いた窒化ケイ素の蒸着が記載されている。付着された窒化ケイ素膜は炭素不純物(10原子%)で汚染されている。これは主に前駆体中の直接Si−C結合の存在に起因するものである。
W-C. Yeh, R. Ishihara, S. Morishita, and M. Matsumura, Japan. J. Appl. Phys., 35, (1996) pp 1509-1512 (非特許文献4)には、350℃付近でヘキサクロロシランおよびヒドラジンを用いたケイ素−窒素膜の低温蒸着が記載されている。この膜は空気中で不安定であり、ケイ素−酸素膜にゆっくりと転化する。
A.K. Hochberg and D.L. O'Meara, Mat. Res. Soc. Symp. Proc., Vol. 204, (1991), pp 509-514(非特許文献5)は、LPCVDによるアンモニアおよび酸化窒素と共にジエチルシランを用いた窒化ケイ素および酸窒化ケイ素の蒸着を報告している。蒸着は650〜700℃の温度範囲で行われる。蒸着は650℃での蒸着に制限され、より低い温度では蒸着速度(deposition rate:成膜速度ともいう)が4Å/分を下回る。このLPCVDプロセスでは、直接Si−C結合を含有する前駆体が結果として膜の炭素汚染を生じさせる。炭素を含まない蒸着には、NH3:前駆体 の比が5:1よりも大きいことを必要とする。低いアンモニア濃度では、膜が炭素を含有することが見出されている。ジエチルシランおよびアンモニアによるプロセスは、ウェハを通じての均一性を改善するために温度傾斜(temperature ramping)または覆いのあるボート(covered boats)を一般に必要とする。
米国特許第5,234,869号明細書(特許文献2)およびD.M. Hoffman, Chem. Mater., Vol. 2, pp 482-484(非特許文献6)には、アミノシラン類、例えばテトラキス(ジメチルアミノ)シラン、に付随する炭素の量を低減する他の試みが開示されている。蒸着温度は300〜1000℃の範囲であり、圧力は1ミリトル〜10トル(0.13Pa〜1.3kPa)の範囲である。直接Si−N結合が存在すること及びSi−C結合が存在しないことは、膜の炭素濃度を低下させることが期待された。しかしながら、このクラスの前駆体を用いる場合に、次の3つの主な不利点があった。
1)該前駆体はN−メチル基を含有するが、このメチル基はシリコン表面に容易に移行する傾向があり、CVDプロセス中に膜を炭素で汚染させる。炭素の量を低減するために、該プロセスは高温(>700℃)および高アンモニア比(>10:1)を伴う。アンモニア比が増大すると、反応物欠乏に起因して蒸着速度が劇的に低下する。
2)該前駆体はN−H結合を含有せず、第2級シラン類を含まない。
3)低温では蒸着速度および均一性が非常に不良である(>5%)。
米国特許第5,874,368号明細書(特許文献3)には、ビス(t−ブチルアミノ)シラン(以下「BTBAS」と称する)を用いて、窒化物蒸着温度を550℃よりも低下させる本発明者等の以前の研究が記載されている。この温度は、金属被覆(metallization)を有する回路上および多くの III−V族およびII−VI族デバイス上での蒸着を行うには依然として高すぎる。さらに、該前駆体は高い活性化エネルギーを有するため、該プロセスが温度の影響を非常に受け易くなる。
半導体デバイスの小型化および低い熱収支の趨勢により、低いプロセス温度および高い蒸着速度(deposition rate)が要求されている。BTBASのような典型的な前駆体を用いるプロセスでは少なくとも550℃のプロセス温度を必要とする。クロロシラン類は更に高い温度を必要とする。
アンモニアは窒素源として窒化ケイ素CVDにおいて重要な役割をする。ヒドラジンおよびその誘導体は、シリコン表面の窒素化(nitradation)用の試薬として用いられてきた[[6] Seiichi Takami, et al., "Monolayer nitradation of silicon surface by a dry chemical process using dimethylhydrazine or ammonia", Appl. Phys. Lett., 1995, 66 (12), 1527-1529(非特許文献7);[7] 米国特許第6,350,708号明細書(特許文献4);[8] 米国特許第6,204,206号明細書(特許文献5);[9] 米国特許第6,127,287号明細書(特許文献6);[10]米国特許第5,939,333号明細書(特許文献7)]。また、ヒドラジンおよびその誘導体は、クロロシラン類と反応する窒素源としてのアンモニアを置換するために用いられてきた[[11]米国特許第6,365,231号明細書(特許文献8);[12]米国特許第6,146,938号明細書(特許文献9);[13]米国特許第6,284,583号明細書(特許文献10)]。
ラジノシラン類は数十年前に最初に合成されたが[[1] Sergeeva, Z., et al., "Synthesis of alkyl- and dialkylbis(1,1-dialkylhydrazino)silanes", J. General Chemistry of the USSR, 1960, 30, 716-719(非特許文献8);[2] Sergeeva, Z., Tszyan-Ian, S., "Reaction of Unsymmetrical Dialkylhydrazines with Alkylchlorosilanes", J. General Chemistry of the USSR, 1963, 33, 1823-1826(非特許文献9)]、この分野では殆ど研究が行われていない。近年、一部の研究者が環状ヒラジノシラン類の化学に興味をもって研究している[[3] Soldner, M., Riede, J. Schier, A., Schmidbaur, H., "Isomeric Cyclic Dislanedihydrazines", Inorg. Chem., 1998, 37, 601-603 (非特許文献10);[4] Mitzel, N.W., Bissinger, P., Riede, J., Dreihaupl, K., Schmidbaur, H., "Two different cyclization modes in the formation of silylhydrazines", Organometallics, 1993, 12, 413-416 (非特許文献11);[5] Mitzel, N.W., Hofman, M., Angermaier, K., Schleyer, P., Schmidbaur, H., "Cyclic silylhydrazines and their borane adducts", Inorg. Chem., 1995, 34, 4840-4845(非特許文献12)]。しかしながら、一般に、環状ヒドラジノシラン類は高い分子量を有し、それ故に高い沸点を有する。高い沸点、すなわち、低い蒸気圧はCVD用途には好ましくないであろう。ヒドラジノシラン類のケイ素含有誘電体用途は、特に開鎖ヒドラジノシラン類については、公表されていない。
ヒドラジノシラン類の異例の反応性は、一般に、N−N結合の弱さ、そして従って、それが均一に開裂する場合の弱さに起因するものである。
1,1−ジメチルヒドラジンのN−N結合の結合エネルギー(246.9kJ/mol)は、 Me3SiHのSi−H結合の結合エネルギー(377.8kJ/mol)、s−Bu−SiMe3 のSi−C結合の結合エネルギー(414kJ/mol)およびt−ブチルアミンのN−C結合(362kJ/mol)よりも遥かに小さい[[15]David R. Lide, "Handbook of Chemistry and Physics", 81st Ed., 2001, CRC (非特許文献13)]。
特開平6−132284号公報 米国特許第5,234,869号明細書 米国特許第5,874,368号明細書 米国特許第6,350,708号明細書 米国特許第6,204,206号明細書 米国特許第6,127,287号明細書 米国特許第5,939,333号明細書 米国特許第6,365,231号明細書 米国特許第6,146,938号明細書 米国特許第6,284,583号明細書 Sorita et al., J. Electro. Chem. Soc., Vol 141, No 12, (1994), pp 3505-3511 B.A. Scott, J.M. Martnez-Duart, D.B. Beach, T.N. Nguyen, R.D. Estes and R.G. Schad., Chemtronics, 1989, Vol. 4, pp 230-234 J.M. Grow, R.A. Levy, X. Fan and M. Bhaskaran, Materials Letters, 23, (1995), pp 187-193 W-C. Yeh, R. Ishihara, S. Morishita, and M. Matsumura, Japan. J. Appl. Phys., 35, (1996) pp 1509-1512 A.K. Hochberg and D.L. O'Meara, Mat. Res. Soc. Symp. Proc., Vol. 204, (1991), pp 509-514 D.M. Hoffman, Chem. Mater., Vol. 2, pp 482-484 Seiichi Takami, et al., "Monolayer nitradation of silicon surface by a dry chemical process using dimethylhydrazine or ammonia", Appl. Phys. Lett., 1995, 66 (12), 1527-1529 Sergeeva, Z., et al., "Synthesis of alkyl- and dialkylbis(1,1-dialkylhydrazino)silanes", J. General Chemistry of the USSR, 1960, 30, 716-719 Sergeeva, Z., Tszyan-Ian, S., "Reaction of Unsymmetrical Dialkylhydrazines with Alkylchlorosilanes", J. General Chemistry of the USSR, 1963, 33, 1823-1826 Soldner, M., Riede, J. Schier, A., Schmidbaur, H., "Isomeric Cyclic Dislanedihydrazines", Inorg. Chem., 1998, 37, 601-603 Mitzel, N.W., Bissinger, P., Riede, J., Dreihaupl, K., Schmidbaur, H., "Two different cyclization modes in the formation of silylhydrazines", Organometallics, 1993, 12, 413-416 Mitzel, N.W., Hofman, M., Angermaier, K., Schleyer, P., Schmidbaur, H., "Cyclic silylhydrazines and their borane adducts", Inorg. Chem., 1995, 34, 4840-4845 David R. Lide, "Handbook of Chemistry and Physics", 81st Ed., 2001, CRC
本発明は、低温条件(400℃より低い温度)で成長し、炭素汚染が低減され、そして水素汚染が低レベルであるケイ素含有誘電体膜の形成に比類なく有用な一群の前駆体を使用することによって、従来技術の問題点を解決するものである。さらに、これらの前駆体は非常に低い活性化エネルギーを有するものであり、温度の影響を受け難い処理を可能とし、塩素汚染を避け、以下に詳細に説明されるような製造バッチ式炉または単一ウェハ反応器での広範囲の圧力(10-5 トル〜760トル(1.3mPa〜101kPa))で操作可能とする。
本発明は、次式のヒドラジノシランを用いる、基板上での窒化ケイ素の低圧化学蒸着プロセスである:
[R1 2N−NH]nSi(R24-n
式中、各R1は独立してC1〜C6のアルキル基から成る群より選択され;各R2は独立して水素、アルキル基(C1〜C6)、ビニル基、アリル基およびフェニル基から成る群より選択され;n=1〜4である。
非常に多様な「薄膜」が超大規模集積(VLSI)デバイスの製造で用いられている。これらの付着される薄膜は、金属、半導体または絶縁体から形成することができる。薄膜は、熱的に成長し得るか又はLPCVDを使用して蒸気相から付着し得る。VLSI技術は、マイクロプロセッサおよびランダムアクセスメモリの両デバイスの製造における多様な用途のために非常に薄い絶縁体を必要とする。二酸化ケイ素は、その付着の容易さ及びSiO2 /Si界面での優れた性質のために、誘電体材料として主に用いられてきた。窒化ケイ素は、二酸化ケイ素を上回る他の利点を有し、それらの一部として不純物およびドーパント抵抗性拡散バリヤ、高絶縁破壊電圧、優れた機械的性質およびSi34の固有の不活性さが挙げられる。酸窒化ケイ素は、ある種の用途において改善された電気的特性を有する。
VLSI製造において、厳密な化学的、構造的、プロセスおよび電気的要件の多数の組が満たされる必要がある。膜の純度、厚さ、均一性および蒸着速度は、デバイスにおけるサブミクロン構成部の加工を容易にするために厳密に制御されるパラメータの一部である。蒸着プロセスを850℃よりも低い温度で行うことができるかは、デバイスの製造および性能における主要な利点となる。このような温度においてLPCVD条件の下で窒化ケイ素を蒸着させるためのケイ素供給源物質は、シランおよびジクロロシランに限られている。安全で高信頼性の低温の窒化ケイ素供給源物質は、他の技術、例えば、フラットパネル表示装置その他の電子的および非電子的基板または化合物半導体デバイスの製造の用途を有する。
本発明は、ヒドラジノシラン類がCVD前駆体として供給されるために充分に揮発性があり、該ヒドラジノシラン類が比較的低温でケイ素含有誘電体膜を形成できることを確立する。ヒドラジノシラン類の蒸着速度はアミノシラン類の場合よりも著しく高い。さらに、温度変化がヒドラジノシラン類からの窒化ケイ素膜の蒸着速度に及ぼす効果は、アミノシラン類の場合よりも遥かに小さい。この特徴はウェハを通じて温度変動がある場合の膜均一性を改善することになる。
本発明のヒドラジノシラン類を使用し得るプロセスとしては、化学蒸着(CVD)、プラズマ助長化学蒸着(PECVD)、低圧化学蒸着(LPCVD)および原子層付着(atomic layer deposition:ALD)による、酸化ケイ素、酸窒化ケイ素、窒化ケイ素から成る群より選択される物質の付着が挙げられる。
本発明は、次式で表わされるヒドラジノシラン類を用いる、窒化ケイ素、酸化ケイ素または酸窒化ケイ素の付着プロセスを包含する。
[R1 2N−NH]nSi(R24-n
式中、各R1は独立してC1〜C6のアルキル基より選択され;各R2は独立して水素、アルキル基、ビニル基、アリル基およびフェニル基から成る群より選択され;n=1〜4である。
好ましくは、各R1が独立してメチル基およびエチル基から成る群より選択され、各R2が独立して水素、メチル基、エチル基、プロピル基、イソ−プロピル基、n−ブチル基、イソ−ブチル基、t−ブチル基、アリル基およびフェニル基から成る群より選択される。
好ましくは、上記ヒドラジノシランは、窒化ケイ素付着のために、窒素、アンモニア、ヒドラジンおよびこれらの混合物から成る群より選択される窒素源と反応される。
窒化ケイ素膜前駆体として多数のヒドラジノシラン類が許容可能であるが、次の表1は企図される代表的なヒドラジノシラン類を例示するものである。
Figure 0003954577
適当なヒドラジノシラン類としては、(A)ビス(1,1−ジメチルヒドラジノ)メチルシラン、(B)トリス(1,1−ジメチルヒドラジノ)シラン、(C)トリス(1,1−ジメチルヒドラジノ)t−ブチルシラン、(D)トリス(1,1−ジメチルヒドラジノ)s−ブチルシラン、(E)トリス(1,1−ジメチルヒドラジノ)エチルシラン、(F)ビス(1,1−ジメチルヒドラジノ)エチルシラン、(G)ビス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、(H)ビス(1,1−ジメチルヒドラジノ)アリルシラン、(I)ビス(1,1−ジメチルヒドラジノ)シラン、(Y)テトラキス(1,1−ジメチルヒドラジノ)シラン、N,N' ,N''−トリス(ジメチルアミノ)シクロトリシラザン、N,N' ,N'',N''' −テトラキス(ジメチルアミノ)シクロトリシラザン、トリス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、およびトリス(1,1−ジメチルヒドラジノ)アリルシランが挙げられる。低分子量のヒラジノシラン類が好ましく、また、環状ヒラジノシラン類よりも非環状ヒラジノシラン類の方が好ましい。これらの化合物は、塩基としてトリエチルアミン等のような有機アミン類を使用して、対応するクロロシラン類をジアルキルヒドラジンと反応させることにより合成される。
10-5 トル〜760トル(1.3mPa〜101kPa)の圧力範囲および100℃〜800℃の温度範囲での化学蒸着プロセスにより、これらの化合物から、半導体基板上で窒化ケイ素膜を形成することができる。形成される薄膜は、トレンチ内のステップカバレージ(段被覆性)に優れ、またウェハの厚さ均一性に優れている。
ヒドラジノシラン分子の窒素豊富な性質に起因して、アンモニアその他の添加剤の介在により又はその介在によらず、窒化ケイ素膜を形成することができる。
付着される膜は、優れた均一性を有し、塩化アンモニウムおよび塩素の汚染が無い。ヒドラジノシラン類は、LPCVDによるジクロロシランおよびアンモニアを用いたプロセスの場合よりも十分に低い温度で窒化ケイ素を付着させる性質を有する。
上記のヒラジノシラン類の顕著な利点は、当該前駆体中の配位子の固有の性質に帰着することができる。これら前駆体の熱分解の間に、これらの配位子は揮発性生成物として容易に除去され得る。ヒラジノシラン類の他の利点は、以下のようにまとめることができる。
1)ヒラジノシラン類は非自然発火性、揮発性の安定な液体であるか又は低融点で揮発性の固体である。
2)ヒラジノシラン類はその分子中に塩素を含有しない。これに対し、ジクロロシランのSi−Cl結合は、塩化アンモニウムの生成を招き、これがチューブのバックエンドで又は単一ウェハ反応器の壁で付着し、頻繁なクリーニングを必要とする。
3)得られる窒化ケイ素膜は、ラザフォード散乱分光測定により示されるように、比較的に炭素を含まない。
4)ヒラジノシラン類は優れた付着均一性を与える。
5)ジアミノ、ジメチルアミノおよび他のアルキルアミン類を配位子とするシラン類のような他の利用可能な前駆体を用いる場合に比べて、ヒラジノシラン前駆体を用いる場合には、蒸着温度を150〜300℃低くすることができる。
他の前駆体との蒸着温度、前駆体および膜の性質の比較を、次の表2に示す。
Figure 0003954577
次の表3は、本発明の開発中に調査検討された化合物(SiNxy 膜用の前駆体として合成され使用された化合物を含み、本発明の有用性のために企図されただけの追加的な化合物も含む)を列挙する。
Figure 0003954577
Figure 0003954577
窒化ケイ素膜を形成するために、ヒラジノシランおよび任意的なアンモニア(または窒素またはヒドラジン)が、上昇した温度(好ましくは100℃〜800℃であるが、この範囲よりも低い温度または高い温度であってもよい)の反応器管内で反応させられる。反応は、薄い窒化ケイ素膜に付着するためにウェハ表面の非常に近くで又は表面上で起こり得る。反応が気相中で起こる場合(均一系反応)には、窒化ケイ素のクラスターが形成される。このような事例はシランおよびアンモニアを用いるプロセスに典型的である。反応がウェハ表面で起こる場合には、得られる膜は優れた均一性を有するものとなる。したがって、CVD用途のための1つの重要な要件は、不均一系反応が気相反応よりも有利となる程度である。
CVDプロセスは、a)気相プロセスおよびb)表面反応プロセスに分類できる。気相現象は気体が基板に衝突する速度であり、これは基板表面と流動する気体のバルク領域とを分離する境界層を気体が越える速度によってモデル化することができる。このような輸送プロセスは、境界層を通じての濃度勾配および気体の拡散係数に比例する、気相拡散によって起こる。気体が熱表面に到達するときに幾つかの表面プロセスが重要となり得るが、表面反応は、一般に、熱的に活性化される現象によってモデル化することができ、この熱的活性化現象は頻度因子、活性化エネルギーおよび温度の関数である速度で進行する。
表面反応速度は、温度の上昇に伴って増大する。ある一定の表面については、温度を、反応種が表面に到達する速度を反応速度が超えるようになるために充分に高くすることができる。このような場合に、反応は、物質輸送により反応物ガスが基板に供給される速度よりも速く進行することができない。これは物質輸送制限蒸着プロセス(mass-transport limited deposition process)と称される。低温では、表面反応速度が減少し、結果的に反応物の濃度が、表面反応プロセスにより反応物が消費される率を超えることになる。このような条件下では、蒸着速度は反応速度により制限される(reaction rate limited)。以上のように、高温では、通常、蒸着が物質輸送により制限される一方、低温では、蒸着が表面反応速度により制限される。実際のプロセスでは、これらの成長系の一方から他方に蒸着条件が移行する温度は、反応の活性化エネルギーおよび反応器内のガス流動条件に依存する。したがって、プロセスの条件または結果を一つの圧力系または温度系から他の圧力系または温度系に外挿することは困難である。
反応速度制限条件の下で行われるプロセスでは、該プロセスの温度が重要なパラメータである。すなわち、反応器全体を通じて均一な蒸着速度となるために、一定の反応速度を維持する条件が必要となる。これは、すなわち、全ウェハ表面のいたるところで温度が一定でなければならないことを意味する。他方、このような条件の下では、反応物の濃度が成長速度を制限しないので、反応物が表面に到達する速度は重要でない。したがって、反応器がウェハ表面の全ての位置に対して等しい流束の反応物を供給するように設計されることは決定的ではない。LPCVD反応器では、そのようなシステムが反応速度制限モードで動作するので、ウェハを非常に近い間隔で積み重ねることができる。この理由は以下の通りである:約1トル(0.13kPa)以下の低い圧力のLPCVD反応器では、気体種の拡散係数が大気圧での拡散係数よりも1000倍増大し、この増大は、境界層すなわち反応物が拡散しなければならない距離の増大が圧力の平方根よりも少ないことによって部分的に相殺されるに過ぎないものである。その正味の効果は、基板表面への反応物の輸送および基板表面からの副生成物の脱離が非常に大幅に増大し、従って、律速段階が表面反応となることである。
低圧化学蒸着プロセス(LPCVD)は、10-5 トル〜760トル(1.3mPa〜101kPa)の圧力範囲で起こるようにされる化学反応を伴う。化学蒸着(CVD)プロセスは、ある一定の温度、圧力および反応物の比での、以下の一連のステップで記述することができる:
1)反応物が反応室に導入され、必要に応じ、場合により、不活性ガスで希釈される;
2)反応物が基板に拡散させられる;
3)反応物が基板上で吸着され、吸着された分子が移動(migration)を受ける;および
4)表面上で化学反応が起こり、付着された膜を残して、反応のガス状副生成物が脱離する。反応は幾つかの方法、例えば熱または光子により開始される。LPCVDプロセスでは熱エネルギーが用いられる。
横型管ホットウォール反応器(horizontal tube hot wall reactors)がVLSI製造におけるLPCVDのために最も広く使用されている。これらはポリSi、窒化ケイ素、非ドープおよびドープの二酸化ケイ素膜の付着のために用いられている。これらの反応器は経済的であり、高スループットを有し、その付着膜が均一であり、大直径ウェハ(6〜12インチ(150〜300mm))を収容できるので広く用いられている。しかし、その主な不利点は、粒子状汚染の影響を受け易いこと及び蒸着速度が低いことである。
200mm直径またはそれよりも大直径のウェハ用の多くのプロセスについて、現在、縦型管ホットウォール反応器(vertical tube hot wall reactors)が横型反応器に置き換わりつつある。反応器の他の幾つかのタイプは、ウェハ間の反応物欠乏効果を避けるために注入方式で使用されている。それらは温度傾斜(temperature ramping)を必要とせず、非常に均一な付着を生じ、報告されるところによれば低レベルの粒子状汚染を達成する。
反応器内に低圧条件を生じさせるためには、適当な真空系が必要である。適当な真空系としては、回転羽根ポンプ/ルートブロワー複合および種々の冷却トラップから成るものがある。反応器圧力は、スロットルバルブコントローラへのキャパシタンスマノメータフィードバックにより制御される。本発明者らの研究の反応器装填(reactor loading)は、標準的拡散ボートに9mmの間隔で配置された80個の100mm直径シリコンウェハから成る。ボートはスレッド(sled)上に配置されるため、ウェハ群の中心は反応管の中心よりも僅かに上にある。これによりボートおよびスレッドにより生じるコンダクタンス制限を補うことによってウェハ周縁の周りに均一なコンダクタンスを生じる。ウェハ装填物(wafer load)を通じての温度均一性が内部多接点熱電対(multi-junction thermocouple)により測定される。ウェハ装填物の下の付着均一性は温度傾斜により改善される。ロードドアの周囲から又はインジェクタを通じてガスおよび蒸気が供給される。
ヒドラジノシラン類は、非自然発火性、揮発性の安定な液体であるか又は低融点で揮発性の固体であって、シランやジクロロシランよりも取扱いが安全である。蒸着プロセスは、ヒドラジノシランおよび任意的アンモニアからの蒸気を用いて、好ましくは100℃〜800℃の温度範囲で、好ましくは10-5 トル〜760トル(1.3mPa〜101kPa)で行われる。任意的に、不活性ガス希釈剤、例えば窒素またはアルゴン、を用いて希釈し、反応速度を制御し得る。得られる膜の性質を変えるためにアンモニア/ヒドラジノシランのモル供給比が用いられ、本発明者らは0から100:1を超えるアンモニア/ヒドラジノシランを用いている。
例1:ビス(1,1−ジメチルヒドラジノ)メチルシランの合成
2つの添加漏斗、凝縮器およびメカニカルスターラを備えた2000mlの3首丸底フラスコに、ヘキサン500mlおよびトリエチルアミン303gを加えた。アイスバスにより冷却し、窒素雰囲気により保護しながら、ヘキサン100ml中のメチルジクロロシラン115gの溶液およびヘキサン50ml中の1,1−ジメチルヒドラジン150gの溶液を、2つの添加漏斗により、ほぼ等しい速度で添加した。次に、その反応混合物を室温まで温め、一晩攪拌した。窒素雰囲気中で、反応混合物を濾過し、固形アミン塩をヘキサンで洗浄した。溶媒および余分アミン類を減圧蒸留により除去した。減圧蒸留により、ビス(1,1−ジメチルヒドラジノ)メチルシラン125g、沸点45℃/22トル(2.8kPa)が得られた。
例2:ビス(1,1−ジメチルヒドラジノ)エチルシランの合成
2つの添加漏斗、凝縮器およびメカニカルスターラを備えた5000mlの3首丸底フラスコに、ヘキサン1500mlおよびトリエチルアミン450gを加えた。アイスバスにより冷却し、窒素雰囲気により保護しながら、ヘキサン500ml中のエチルトリクロロシラン200gの溶液および1,1−ジメチルヒドラジン196gを、2つの添加漏斗により、ほぼ等しい速度で添加した。次に、その反応混合物を室温まで温め、一晩攪拌した。窒素雰囲気中で、反応混合物を濾過し、固形アミン塩をヘキサンで洗浄した。溶媒および余分アミン類を減圧蒸留により除去した。減圧蒸留により、ビス(1,1−ジメチルヒドラジノ)エチルシラン154g、沸点40℃/10トル(1.3kPa)が得られた。
例3:トリス(1,1−ジメチルヒドラジノ)−t−ブチルシランの合成
2つの添加漏斗、凝縮器およびメカニカルスターラを備えた2000mlの3首丸底フラスコに、ヘキサン800mlおよびトリエチルアミン125gを加えた。アイスバスにより冷却し、窒素雰囲気により保護しながら、ヘキサン100ml中のt−ブチルトリクロロシラン48gの溶液およびヘキサン100ml中の1,1−ジメチルヒドラジン50gの溶液を、2つの添加漏斗により、ほぼ等しい速度で添加した。次に、その反応混合物を室温まで温め、一晩攪拌した。窒素雰囲気中で、反応混合物を濾過し、固形アミン塩をヘキサンで洗浄した。溶媒および余分アミン類を減圧蒸留により除去した。減圧蒸留により、トリス(1,1−ジメチルヒドラジノ)−t−ブチルシラン30g、沸点56℃/2トル(0.27kPa)が得られた。1H NMR:1.19(s,9H),2.34(s,18H)。
例4:トリス(1,1−ジメチルヒドラジノ)−イソ−ブチルシランの合成
2つの添加漏斗、凝縮器およびメカニカルスターラを備えた5000mlの3首丸底フラスコに、ヘキサン1500mlおよびトリエチルアミン400gを加えた。アイスバスにより冷却し、窒素雰囲気により保護しながら、ヘキサン200ml中のイソ−ブチルトリクロロシラン200gの溶液および1,1−ジメチルヒドラジン240gを、2つの添加漏斗により、ほぼ等しい速度で添加した。次に、その反応混合物を室温まで温め、一晩攪拌した。窒素雰囲気中で、反応混合物を濾過し、固形アミン塩をヘキサンで洗浄した。溶媒および余分アミン類を減圧蒸留により除去した。減圧蒸留により、トリス(1,1−ジメチルヒドラジノ)−イソ−ブチルシラン170g、沸点61℃/2トル(0.27kPa)が得られた。
例5:トリス(1,1−ジメチルヒドラジノ)エチルシランの合成
2つの添加漏斗、凝縮器およびメカニカルスターラを備えた5000mlの3首丸底フラスコに、ヘキサン2000mlおよびトリエチルアミン500gを加えた。アイスバスにより冷却し、窒素雰囲気により保護しながら、ヘキサン100ml中のエチルトリクロロシラン190gの溶液および1,1−ジメチルヒドラジン290gを、2つの添加漏斗により、ほぼ等しい速度で添加した。次に、その反応混合物を室温まで温め、一晩攪拌した。窒素雰囲気中で、反応混合物を濾過し、固形アミン塩をヘキサンで洗浄した。溶媒および余分アミン類を減圧蒸留により除去した。減圧蒸留により、トリス(1,1−ジメチルヒドラジノ)エチルシラン153g、沸点51℃/2トル(0.27kPa)が得られた。
例6:テトラキス(1,1−ジメチルヒドラジノ)シランの合成
2つの添加漏斗、凝縮器およびメカニカルスターラを備えた5000mlの3首丸底フラスコに、ヘキサン2000mlおよび1,1−ジメチルヒドラジン600gを加えた。ドライアイスバスにより冷却し、窒素雰囲気により保護しながら、ヘキサン350ml中の四塩化ケイ素170gの溶液を、ゆっくりと添加した。次に、その反応混合物を室温まで温め、一晩攪拌した。窒素雰囲気中で、反応混合物を濾過し、固形塩をヘキサンで洗浄した。減圧下で溶媒を部分的に除去し、溶液を約1リットルの量まで濃縮した。溶液から固体が沈殿し始めると、その溶液を冷蔵庫に入れた。固形生成物を濾過し、減圧下で乾燥した。テトラキス(1,1−ジメチルヒドラジノ)シラン185gが得られた。融点63℃。
例7:トリス(ジメチルヒドラジノ)t−ブチルシランおよびアンモニアのLPCVD
本プロセスは、LPCVD条件(20ミリトル〜2トル(2.7Pa〜270Pa)の低圧力範囲)の下、トリス(ジメチルヒドラジノ)−t−ブチルシラン(TDMHtBS)の熱分解、または該前駆体とアンモニアとの反応を伴う。該前駆体およびアンモニア(または窒素希釈剤)を、加熱された反応器(200〜800℃)内に、そのドアに設けられたインジェクタにより導入した。該反応物を、排気した反応室のウェハ上に流した。アンモニア(または窒素)/ケイ素源は、1:1〜10:1の範囲の比で維持した。シリコンウェハ表面上に窒化ケイ素の連続膜が付着した。これらの膜は集積回路製造用に適したものである。一般の実験は150mmホットウォールLPCVD横型管反応器内で行ったが、装置構成は決定的でない。本プロセスは、石英反応器に75〜100のシリコンウェハを装填すること;系を排気すること;ウェハを蒸着が行われる所望の温度にすることを含む。本反応に必要なエネルギーは、単に抵抗加熱法により供給することができる。このことは、設備が安価になる点、およびプラズマ反応器で多くの場合に見られる照射による膜損傷(radiative film damage)を回避できる点で有利である。
一般の実験は500℃および400ミリトル(53Pa)で60sccm NH3とともに30sccmのTDMHtBSを流した。80ウェハの平均蒸着速度は11.5Å/分であった。得られた膜の性質を赤外分光法および屈折率により調べた。FT−IRスペクトルは、他の既知の窒化物前駆体、例えばジクロロシラン+アンモニア、から蒸着された窒化ケイ素膜と一致した。2100cm-1 のSi−H伸縮領域に中程度の吸収帯および870cm-1 に強いSi−N伸縮がある。しかしながら、これらの膜は、低温および低アンモニア比では幾分多孔質であるため、反応器から取り出した後に幾分酸化を受ける。これらの膜の屈折率を632.4nmでの偏光解析法(ellipsometry)により測定し、屈折率は多孔性のため約1.6〜1.7以上を示した。窒化ケイ素膜の性質をラザフォード散乱(Rutherford Backscattering:RBS)分析により調べた。これらの膜のケイ素、炭素、窒素および酸素含有量が定量された。窒化ケイ素の組成は、蒸着後、約1:1.1のケイ素/窒素であった。これらの膜の組成は、膜の深さを通じて均一であった。炭素は検出限界(1〜2原子%)にあり、この多くは空気汚染によるものである。
例8:トリス(ジメチルヒドラジノ)エチルシランおよびアンモニアのLPCVD
本プロセスは、例7のプロセスと同様のLPCVD条件(20ミリトル〜2トル(2.7Pa〜270Pa)の低圧力範囲)の下、トリス(ジメチルヒドラジノ)エチルシラン(TDMHES)の熱分解またはアンモニアとの反応を伴う。一般の実験は500℃および400ミリトル(53Pa)で60sccm NH3とともに30sccmのTDMHESを流した。80ウェハの平均蒸着速度は20Å/分であった。RBS分析は、これらの膜がMEMS用途のため低応力窒化物を得るための前提条件であるケイ素豊富(silicon rich)であることを示した。
例9:ビス(ジメチルヒドラジノ)メチルシランおよびアンモニアのLPCVD
本プロセスは、例7のプロセスと同様のLPCVD条件(20ミリトル〜2トル(2.7Pa〜270Pa)の低圧力範囲)の下、ビス(ジメチルヒドラジノ)メチルシラン(BDMHMS)の熱分解またはアンモニアとの反応を伴う。一般の実験は500℃および500ミリトル(67Pa)で120sccm N2とともに70sccmのBDMHMSを流した。80ウェハの平均蒸着速度は8.5Å/分であった。FT−IR分析は、これらの膜が、870cm-1 にSi−N伸縮をもち、低密度であり、窒素豊富であることを示した。
例10:ビス(ジメチルヒドラジノ)エチルシランおよびアンモニアのLPCVD
本プロセスは、例7のプロセスと同様のLPCVD条件(20ミリトル〜2トル(2.7Pa〜270Pa)の低圧力範囲)の下、ビス(ジメチルヒドラジノ)エチルシラン(BDMHES)の熱分解またはアンモニアとの反応を伴う。一般の実験は500℃および400ミリトル(53Pa)で60sccm NH3とともに34sccmのBDMHESを流した。80ウェハの平均蒸着速度は11.5Å/分であった。RBS分析は、これらの膜が窒化ケイ素であることを示した。偏光解析法は、これらの蒸着膜が時間経過とともに実質的に変化しない1.75の屈折率をもった低〜中密度のものであることを示した。温度の逆数に対する蒸着速度の追加的なデータを、アミノシラン類を用いた蒸着結果と比較して、図1に示す。
例11:ビス(ジメチルヒドラジノ)エチルシランおよびアンモニアのPECVD
本プロセスは、単一ウェハプラズマ反応器内でのビス(ジメチルヒドラジノ)エチルシラン(BDMHES)およびアンモニアを用いたプラズマ助長化学蒸着を伴う。一般の実験は420℃および1000ミリトル(130Pa)で300sccm NH3とともに7sccmのBDMHESを流した。平均RF出力2.2ワット/cm2 の場合に、平均蒸着速度は85Å/分であり、屈折率は1.92であった。FT−IRは、これらの蒸着膜が860cm-1 にSi−N伸縮をもち、高密度のものであることを示した。370℃および420℃の名目温度での蒸着についての追加的データを図5に示す。
例12:ビス(ジメチルヒドラジノ)エチルシランおよびアンモニアのLPCVD
本プロセスは、ビス(ジメチルヒドラジノ)エチルシラン(BDMHES)およびアンモニアを用いた単一ウェハ反応器内での低圧化学蒸着を伴う。一般の実験は370℃および2000ミリトル(270Pa)で11sccm NH3とともに5.5sccmのBDMHESを流した。平均蒸着速度は15Å/分であり、屈折率は1.73であった。FT−IRは、これらの蒸着膜が872cm-1 にSi−N伸縮をもち、中〜低密度のものであることを示した。
2トル(270Pa)および8トル(1.1kPa)のプロセス圧力で単一ウェハ反応器内での本化合物(「F」)についての追加的な蒸着データを図6に示す。
本発明を幾つかの実施態様について記載したが、本発明の完全な範囲は以下の特許請求の範囲より確定されるべきである。
BTBAS(「ビス(t−ブチルアミノ)シラン」)を含む数々の窒化ケイ素前駆体についての温度の逆数に対する蒸着速度のグラフである。 アンモニア/ビス(ジメチルヒドラジノ)エチルシラン比に対する屈折率のグラフである。 図3Aは種々のアミノシラン類について計算されるエネルギー(−110kcal/モル〜−40kcal/モル)に対する蒸着速度のグラフであり、図3Bは種々のアミノシラン類について計算されるエネルギー(−300kcal/モル〜300kcal/モル)に対する蒸着速度のグラフである。 図4Aは種々のヒドラジノシラン類について計算されるエネルギー(−35kcal/モル〜−5kcal/モル)に対する蒸着速度のグラフであり、図4Bは種々のヒドラジノシラン類について計算されるエネルギー(50kcal/モル〜−300kcal/モル)に対する蒸着速度のグラフである。 ビス(ジメチルヒドラジノ)エチルシランを用いたプラズマ助長化学蒸着についてのRF出力に対する屈折率のグラフである。 ビス(ジメチルヒドラジノ)エチルシランを用いた単層蒸着のアレニウスプロットである。

Claims (30)

  1. 次式のヒドラジノシランを用いる、基板上での窒化ケイ素、酸化ケイ素及び酸窒化ケイ素から成る群から選択される物質の化学蒸着プロセス:
    [R1 2N−NH]nSi(R24-n
    [式中、各R1は独立してC1〜C6のアルキル基より選択され;各R2は独立して水素、アルキル基、ビニル基、アリル基およびフェニル基から成る群より選択され;n=1〜4である]。
  2. ヒドラジノシランが、ビス(1,1−ジメチルヒドラジノ)メチルシラン、トリス(1,1−ジメチルヒドラジノ)シラン、トリス(1,1−ジメチルヒドラジノ)t−ブチルシラン、トリス(1,1−ジメチルヒドラジノ)s−ブチルシラン、トリス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、ビス(1,1−ジメチルヒドラジノ)アリルシラン、ビス(1,1−ジメチルヒドラジノ)シラン、テトラキス(1,1−ジメチルヒドラジノ)シラン、N,N' ,N''−トリス(ジメチルアミノ)シクロトリシラザン、N,N' ,N'',N''' −テトラキス(ジメチルアミノ)シクロテトラシラザン、トリス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、トリス(1,1−ジメチルヒドラジノ)アリルシランおよびこれらの混合物から成る群より選択される、請求項1に記載のプロセス。
  3. 基板の温度が100〜800℃の範囲にある、請求項1に記載のプロセス。
  4. 圧力が10-5 トル〜760トル(1.3mPa〜101kPa)の範囲にある、請求項1に記載のプロセス。
  5. ヒドラジノシランが、窒素、アンモニア、ヒドラジン、アミン類およびこれらの混合物から成る群より選択される窒素源と反応される、請求項1に記載のプロセス。
  6. アンモニア/ヒドラジノシランのモル比をゼロ以上にすることができる、請求項5に記載のプロセス。
  7. 基板がシリコンである、請求項1に記載のプロセス。
  8. 基板が電子的デバイスである、請求項1に記載のプロセス。
  9. 基板がフラットパネル表示装置である、請求項1に記載のプロセス。
  10. 各R1が独立してメチル基およびエチル基から成る群より選択され、各R2が独立して水素、メチル基、エチル基、プロピル基、イソ−プロピル基、n−ブチル基、イソ−ブチル基、t−ブチル基、アリル基およびフェニル基から成る群より選択される、請求項1に記載のプロセス。
  11. 前記物質が、窒化ケイ素である、請求項1に記載のプロセス。
  12. 前記物質が、酸窒化ケイ素である、請求項1に記載のプロセス。
  13. 前記物質が、窒化ケイ素であり、そして前記化学蒸着が、プラズマ助長化学蒸着である、請求項1に記載のプロセス。
  14. 前記物質が、酸化ケイ素であり、そして前記化学蒸着が、プラズマ助長化学蒸着である、請求項1に記載のプロセス。
  15. 前記物質が、酸窒化ケイ素であり、そして前記化学蒸着が、プラズマ助長化学蒸着である、請求項1に記載のプロセス。
  16. 前記化学蒸着が、原子層付着(atomic layer deposition)である、請求項1に記載のプロセス。
  17. 反応帯域での窒化ケイ素の低温化学蒸着プロセスであって、
    a)基板を前記帯域で100〜800℃の範囲の温度に加熱する工程;
    b)基板を前記帯域で10-5 トル〜760トル(1.3mPa〜101kPa)の範囲の圧力の真空中に維持する工程;
    c)前記帯域に次式のヒドラジノシランを導入する工程:
    [R1 2N−NH]nSi(R24-n
    [式中、各R1は独立してC1〜C6のアルキル基より選択され;各R2は独立して水素、アルキル基、ビニル基、アリル基およびフェニル基から成る群より選択され;n=1〜4である];および
    d)基板上に窒化ケイ素の膜を付着させるために十分な条件のa)〜c)を維持する工程を含むプロセス。
  18. ヒドラジノシランが、ビス(1,1−ジメチルヒドラジノ)メチルシラン、トリス(1,1−ジメチルヒドラジノ)シラン、トリス(1,1−ジメチルヒドラジノ)t−ブチルシラン、トリス(1,1−ジメチルヒドラジノ)s−ブチルシラン、トリス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、ビス(1,1−ジメチルヒドラジノ)アリルシラン、ビス(1,1−ジメチルヒドラジノ)シラン、テトラキス(1,1−ジメチルヒドラジノ)シラン、N,N' ,N''−トリス(ジメチルアミノ)シクロトリシラザン、N,N' ,N'',N''' −テトラキス(ジメチルアミノ)シクロテトラシラザン、トリス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、トリス(1,1−ジメチルヒドラジノ)アリルシランおよびこれらの混合物から成る群より選択される、請求項17に記載のプロセス。
  19. ヒドラジノシランが、窒素、アンモニア、ヒドラジンおよびこれらの混合物から成る群より選択される窒素源と反応される、請求項17に記載のプロセス。
  20. トリス(1,1−ジメチルヒドラジノ)シラン、トリス(1,1−ジメチルヒドラジノ)t−ブチルシラン、トリス(1,1−ジメチルヒドラジノ)s−ブチルシラン、トリス(1,1−ジメチルヒドラジノ)エチルシラン、ビス(1,1−ジメチルヒドラジノ)イソ−プロピルシラン、ビス(1,1−ジメチルヒドラジノ)アリルシラン、ビス(1,1−ジメチルヒドラジノ)シラン、テトラキス(1,1−ジメチルヒドラジノ)シラン、N,N' ,N''−トリス(ジメチルアミノ)シクロトリシラザン、N,N' ,N'',N''' −テトラキス(ジメチルアミノ)シクロテトラシラザン、トリス(1,1−ジメチルヒドラジノ)イソ−プロピルシランおよびトリス(1,1−ジメチルヒドラジノ)アリルシランから成る群より選択される物質を含む組成物。
  21. トリス(1,1−ジメチルヒドラジノ)シランを含む組成物。
  22. トリス(1,1−ジメチルヒドラジノ)t−ブチルシランを含む組成物。
  23. トリス(1,1−ジメチルヒドラジノ)s−ブチルシランを含む組成物。
  24. ビス(1,1−ジメチルヒドラジノ)イソ−プロピルシランを含む組成物。
  25. ビス(1,1−ジメチルヒドラジノ)アリルシランを含む組成物。
  26. ビス(1,1−ジメチルヒドラジノ)シランを含む組成物。
  27. テトラキス(1,1−ジメチルヒドラジノ)シランを含む組成物。
  28. N,N' ,N''−トリス(ジメチルアミノ)シクロトリシラザンを含む組成物。
  29. トリス(1,1−ジメチルヒドラジノ)イソ−プロピルシランを含む組成物。
  30. トリス(1,1−ジメチルヒドラジノ)アリルシランを含む組成物。
JP2004015984A 2003-01-23 2004-01-23 ケイ素含有膜を付着させるための前駆体およびそのプロセス Expired - Fee Related JP3954577B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44218303P 2003-01-23 2003-01-23
US10/695,379 US7122222B2 (en) 2003-01-23 2003-10-27 Precursors for depositing silicon containing films and processes thereof

Publications (2)

Publication Number Publication Date
JP2004228585A JP2004228585A (ja) 2004-08-12
JP3954577B2 true JP3954577B2 (ja) 2007-08-08

Family

ID=32600303

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004015984A Expired - Fee Related JP3954577B2 (ja) 2003-01-23 2004-01-23 ケイ素含有膜を付着させるための前駆体およびそのプロセス

Country Status (6)

Country Link
US (2) US7122222B2 (ja)
EP (1) EP1441042A1 (ja)
JP (1) JP3954577B2 (ja)
KR (1) KR100654279B1 (ja)
CN (1) CN1518076A (ja)
TW (1) TWI248472B (ja)

Families Citing this family (431)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7819903B2 (en) * 2003-03-31 2010-10-26 Depuy Spine, Inc. Spinal fixation plate
JP4354732B2 (ja) * 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
GB0412790D0 (en) * 2004-06-08 2004-07-14 Epichem Ltd Precursors for deposition of silicon nitride,silicon oxynitride and metal silicon oxynitrides
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP4854245B2 (ja) * 2005-09-22 2012-01-18 東京エレクトロン株式会社 半導体装置の製造方法
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US8101788B2 (en) * 2006-09-29 2012-01-24 Air Liquide Electronics U.S. Lp Silicon precursors and method for low temperature CVD of silicon-containing films
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
KR20110084517A (ko) 2008-10-20 2011-07-25 다우 코닝 코포레이션 Cvd 전구체
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9100459B2 (en) 2010-04-30 2015-08-04 Qualcomm Incorporated Exchanging data associated with a communication session within a communications system
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN104451607B (zh) * 2014-12-01 2017-04-12 西安电子科技大学 提高lpcvd沉积bpsg薄膜均匀性的工艺优化方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10424585B2 (en) * 2016-01-21 2019-09-24 International Business Machines Corporation Decoupling capacitor on strain relaxation buffer layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7431245B2 (ja) * 2019-01-24 2024-02-14 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素を堆積する方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11492364B2 (en) * 2020-03-31 2022-11-08 Entegris, Inc. Silicon hydrazido precursor compounds
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4577039A (en) * 1985-07-15 1986-03-18 Petrarch Systems Inc. Method of preparing hexamethylcyclotrisilazane
FR2625211A1 (fr) 1987-12-28 1989-06-30 Atochem Polysiloxazanes, leur procede de preparation, leur utilisation comme precurseurs de ceramiques et lesdites ceramiques
FR2633301A1 (fr) 1988-06-22 1989-12-29 Atochem Polysilazanes, leur procede de preparation, leur utilisation comme precurseurs de ceramiques et lesdites ceramiques
FR2635528A1 (fr) 1988-08-17 1990-02-23 Atochem Procede de preparation de polyhydrosilazanes derives d'hydrazine et l'utilisation desdits silazanes comme precurseurs de ceramique
FR2639937B1 (fr) 1988-12-07 1991-03-22 Atochem Compositions de precurseurs de ceramiques a base de polysilazanes et ceramiques obtenues par pyrolyse desdites compositions
JPH036566A (ja) 1989-06-02 1991-01-14 Mitsubishi Electric Corp エキシマレーザによるパターン形成方法
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
JPH06132284A (ja) 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
US5429673A (en) * 1993-10-01 1995-07-04 Silicon Resources, Inc. Binary vapor adhesion promoters and methods of using the same
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5939333A (en) * 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
JP2000080476A (ja) 1998-06-26 2000-03-21 Toshiba Corp 気相成長方法および気相成長装置およびハロゲン化アンモニウム除去装置
US6365231B2 (en) * 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
US6146938A (en) * 1998-06-29 2000-11-14 Kabushiki Kaisha Toshiba Method of fabricating semiconductor device
US6368988B1 (en) * 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005294791A (ja) * 2004-03-09 2005-10-20 Nec Corp 不揮発性メモリ及び不揮発性メモリの製造方法
US7488690B2 (en) * 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control

Also Published As

Publication number Publication date
EP1441042A1 (en) 2004-07-28
TW200413560A (en) 2004-08-01
TWI248472B (en) 2006-02-01
US20040146644A1 (en) 2004-07-29
KR100654279B1 (ko) 2006-12-08
CN1518076A (zh) 2004-08-04
US7122222B2 (en) 2006-10-17
JP2004228585A (ja) 2004-08-12
US7288145B2 (en) 2007-10-30
US20070004931A1 (en) 2007-01-04
KR20040067950A (ko) 2004-07-30

Similar Documents

Publication Publication Date Title
JP3954577B2 (ja) ケイ素含有膜を付着させるための前駆体およびそのプロセス
KR100318978B1 (ko) 비스(3차부틸아미노)실란을이용한질화규소의화학증착방법
EP0964441B1 (en) Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino)silane
KR102092447B1 (ko) 실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법
EP2154141B1 (en) Precursors for depositing silicon-containing films and methods using same
US6733830B2 (en) Processes for depositing low dielectric constant materials
CN106048557B (zh) 用于沉积碳掺杂含硅膜的组合物和方法
KR102303691B1 (ko) 할로겐화된 규소 전구체들을 사용한 규소, 탄소 및 질소를 포함하는 필름들의 원자층 증착
EP1630249A2 (en) Process for chemical vapor deposition of silicon nitride.
US20050181633A1 (en) Precursors for depositing silicon-containing films and processes thereof
KR20060118358A (ko) Cvd 탄질화 규소 필름을 위한 전구체
KR102470237B1 (ko) 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
TWI798765B (zh) 用於鍺種子層的組合物及使用其的方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070426

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110511

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110511

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120511

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130511

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130511

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees