CN1306583C - 衬底保持装置和具备该装置的曝光装置 - Google Patents

衬底保持装置和具备该装置的曝光装置 Download PDF

Info

Publication number
CN1306583C
CN1306583C CNB011118288A CN01111828A CN1306583C CN 1306583 C CN1306583 C CN 1306583C CN B011118288 A CNB011118288 A CN B011118288A CN 01111828 A CN01111828 A CN 01111828A CN 1306583 C CN1306583 C CN 1306583C
Authority
CN
China
Prior art keywords
mentioned
wafer
substrate
exposure device
retainer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB011118288A
Other languages
English (en)
Other versions
CN1313633A (zh
Inventor
近藤诚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Publication of CN1313633A publication Critical patent/CN1313633A/zh
Application granted granted Critical
Publication of CN1306583C publication Critical patent/CN1306583C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种保持平板状衬底的衬底保持装置,它具有:基底构件;和多个突起状的支持部分,其每一个顶端部分实质上都位于同一平面上而且在上述基底构件上边被配置成三角形的网格状,如果设该多个支持部分中被配置成三角形网格状的两个支持部分的间隔为a[m],设对上述衬底的吸引力为p[N/m],设上述衬底的允许变形量为δmax[m],设扬氏模量为E[Pa],设厚度为t[m],满足p·a4≤18·E·t3·δmax。可以减小用静电吸附等进行吸附情况下的衬底变形量,抑制衬底平坦度的恶化。

Description

衬底保持装置和具备该装置的曝光装置
技术领域
本发明涉及衬底保持装置和具备该装置的曝光装置,特别是涉及在用来制造半导体器件、液晶显示器件、等离子体显示器件或薄膜磁头等的器件的光刻工序中向衬底上边复制图形时,为保持该衬底而使用的衬底保持装置和具备该衬底保持装置的曝光装置。
背景技术
在制造半导体器件时,为了把作为掩模的刻线(reticle)的图形复制到作为衬底的已涂敷上光刻胶的晶片(或玻璃板等)上边的各个拍摄(shot)区域上,可以使用步进重复方式等的一揽子曝光式的曝光装置和步进扫描方式等的扫描曝光式的曝光装置。在这样的曝光装置中,晶片借助于真空吸附或静电吸附等保持在晶片保持器上边,该晶片保持器则固定在可以进行粗调的晶片台上边。
现有的晶片保持器,例如,在日本国公开特许公报特开平1-129438号中所公开的那样,具备正方形的网格状配置的多个插针和借助于这些插针间的吸引孔吸引气体的吸引部分。这样一来,在把晶片载置到该多个插针上边的状态下,采用用该吸引部分对该晶片和晶片保持器之间的气体进行排气的办法,吸附保持晶片。此外为了防止晶片带静电,有时候向晶片保持器的表面的整个面上涂敷导电性材料,并在该晶片保持器的背面一侧接地。
如上所述,在现有的晶片保持器中,把晶片载置到例如正方形网格状地配置的多个插针的上边,用真空吸附等保持晶片。但是,归因于真空吸附等,在晶片上会产生局部变形(弯曲等),当该变形量变大到例如投影光学系统的焦点深度范围那种程度时,由于在该部分处的析象清晰度降低,取决于晶片上边的拍摄区域,有的区域将局部地不能进行良好的曝光。
为了抑制由该真空吸附等引起的晶片的变形,虽然可以或者是使支持晶片的插针的配置间隔变窄,或者是减弱该吸引力,但是,如果仅仅减弱吸引力,则在例如步骤转移时的加速期间或减速期间等期间,有产生晶片的位置偏离的可能。另一方面,如果使插针的配置间隔变窄,由于将增加晶片和晶片保持器之间的接触率,还会增加异物被夹持在晶片背面与晶片保持器间的可能性,故存在着招致晶片的平坦度恶化的可能。
此外,在用低热膨胀系数的材料形成晶片保持器的情况下,若把带电防止用的材料涂敷到晶片保持器的表面上时,归因于该带电防止用的材料与形成晶片保持器的材料之间的热膨胀系数的差异会产生内部应力,存在着带电防止用的材料断裂或剥离的可能。为此,不能把带电防止用的材料形成得那么厚,在把带电防止用的材料涂敷到晶片保持器的表面上之后,为了实现与晶片之间的接触面的平坦度的提高,进行该接触面(涂敷面)的研磨加工是困难的,存在着在其接触面上剩下微小凹凸的可能。
此外,在半导体器件等的制造中,追求吞吐率的提高,但在现有的曝光装置中,由于在把晶片载置到晶片保持器上边之后,才开始使用用来吸附保持晶片的真空泵进行气体的排气,故使晶片的保持力达到规定的目标值的时间拉长。此外,在为了交换晶片而把晶片从晶片保持器搬运出来时,在该真空泵的吸引已经停止的情况下,由于现有的技术仅仅例如打开与大气压的气体连通的阀门,故到使该晶片的吸引力消失为止的时间也将变长。因此,若使用现有的晶片保持器的话,在晶片的装入和卸下时很费时间,这将成为提高曝光工序的吞吐率方面的一个障碍。
发明内容
有鉴于这些问题,本发明的第1个目的,是提供对于与晶片等的衬底之间的接触面积,可以在减小吸附该衬底情况下的变形量的衬底保持装置。
此外,本发明的第2个目的,是提供向具备与衬底之间的接触面的区域上涂敷带电防止用的材料,同时,可以防止该材料的断裂等的衬底保持装置。
再有,本发明的第3个目的,是提供使衬底的吸附开始动作或吸附解除动作高速化,可以提高吞吐率的衬底保持装置。
此外,提供具备这样的衬底保持装置的曝光装置,也是本发明的目的。
为了实现上述目的,本发明提供一种保持平板状衬底的衬底保持装置,它具有:
基底构件;和
多个突起状的支持部分,其每一个顶端部分实质上都位于同一平面上而且在上述基底构件上边被配置成三角形的网格状,
如果设该多个支持部分中被配置成三角形网格状的两个支持部分的间隔为a[m],设对上述衬底的吸引力为p[N/m],设上述衬底的允许变形量为δmax[m],设扬氏模量为E[Pa],设厚度为t[m],则满足:
p·a4≤18·E·t3·δmax。
更好是,在上述基底构件一侧设置有用来吸引上述多个支持部分上边的上述衬底的吸引机构。
更好是,上述衬底保持装置具有:以与上述衬底的轮廓实质上相同的形状,在上述基底构件上边把上述多个支持部分围起来而设置的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
更好是,上述衬底是直径为大约300mm的半导体衬底,上述多个支持部分配置成边长为a的正三角形的网格状,并且该边长a设为1mm~3mm。
更好是,上述衬底是圆形,具有在上述基底构件上边设置成把上述多个支持部分围起来的闭合成圆环状的突部。
更好是,上述突部的高度设置成比上述多个支持部分低。
更好是,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
更好是,上述基底构件除去上述衬底的载置面中与上述衬底之间的接触面不同的一部分之外,在其表面上,使用与上述基底构件不同的材料施行涂敷。
更好是,上述涂敷材料是具有与上述基底构件不同的热膨胀系数的上述衬底的带电防止用的材料。
更好是,上述带电防止用的材料是导电性的材料。
更好是,上述基底构件和上述多个支持部分由具有低热膨胀系数的非导电性材料构成。
另外,本发明提供一种曝光装置,用曝光光束照明第1物体,通过上述第1物体用上述曝光光束使第2物体曝光,该装置具备:
保持器,具有与上述第2物体进行接触的顶端部分实质上位于同一平面上且配置成三角形的网格状的多个突起状的支持部分;和
载物台系统,包含设置上述保持器的可动体,
如果设上述多个支持部分中被配置成三角形网格状的两个支持部分的间隔为a[m],设对上述第2物体的吸引力为p[N/m],设上述第2物体的允许变形量为δmax[m],设扬氏模量为E[Pa],设厚度为t[m],则满足:
p·a4≤18·E·t3·δmax。
更好是,上述载物台系统为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向交叉的第2方向和底边平行的等腰三角形的网格状。
更好是,上述等腰三角形的高与上述第1方向平行且比上述底边长。
更好是,上述载物台系统为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向交叉的第2方向和两边平行的平行四边形的网格状。
更好是,1上述载物台系统为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向有关的宽度,比有关与上述第1方向交叉的第2方向的宽度还宽的菱形的网格状。
更好是,上述第2物体是直径为大约300mm的半导体衬底,上述多个支持部分配置成边长为a的正三角形的网格状,并且该边长a为1mm~3mm。
更好是,上述曝光装置具有吸引载置在上述多个支持部分上的上述第2物体的吸引机构。
更好是,上述吸引机构把上述第2物体的载置后的吸引力设定得弱于把上述第2物体载置到上述多个支持部分上时的吸引力。
更好是,上述吸引机构包括:把上述第2物体从上述多个支持部分上取下来时,向与上述第2物体之间供给气体的气体供给部分。
更好是,上述第2物体是圆形,上述保持器具有设置成把上述多个支持部分围起来的闭合成圆环状的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
更好是,上述突部的高度设置成比上述多个支持部分低。
更好是,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
更好是,上述保持器除去上述第2物体的载置面中与上述第2物体之间的接触面不同的一部分之外,在其表面上,使用与上述保持器不同的材料施行涂敷。
更好是,上述涂敷材料是具有与上述保持器不同的热膨胀系数的上述第2物体的带电防止用的材料。
更好是,上述带电防止用的材料是导电性的材料。
更好是,上述的曝光装置具有上述多个支持部分的保持器由具有低热膨胀系数的非导电性材料构成。
另外,本发明的保持平板状衬底的衬底保持装置具有:
基底构件;和
多个突起状的支持部分,其每一个顶端部分实质上都位于同一平面上而且在上述基底构件上边被配置成三角形的网格状,该多个支持部分的被配置成三角形网格状的两个支持部分的间隔按照上述衬底的允许变形量、杨氏模量以及厚度来决定,
上述衬底载置在上述多个支持部分上。
更好是,在上述基底构件一侧设置有用来吸引上述多个支持部分上边的上述衬底的吸引机构。
更好是,所述衬底保持装置具有:以与上述衬底的轮廓实质上相同的形状,在上述基底构件上边把上述多个支持部分围起来而设置的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
更好是,把上述多个支持部分配置成边长为a[m]的正三角形的网格状,在设对上述衬底的每单位长度的吸引力为p[N/m]、上述衬底的允许变形量为8max[m]、扬氏模量为E[Pa]、以及厚度为t[m]时,上述长度a和吸引力p满足以下的条件:
p·a4≤18·E·t3·δmax。
更好是,上述衬底是直径为大约300mm的半导体衬底,上述多个支持部分配置成边长为a的正三角形的网格状,并且该边长a设为1mm~3mm。
更好是,上述间隔是在考虑了基于上述吸引机构的上述衬底的吸引力后决定的。
更好是,上述衬底是圆形,具有在上述基底构件上边设置成把上述多个支持部分围起来的闭合成圆环状的突部。
更好是,上述突部的高度设置成比上述多个支持部分低。
更好是,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
更好是,上述基底构件,除去上述衬底的载置面中与上述衬底之间的接触面不同的一部分之外,在其表面上,使用与上述基底构件不同的材料施行涂敷。
更好是,上述涂敷材料是具有与上述基底构件不同的热膨胀系数的上述衬底的带电防止用的材料。
另外,本发明的保持平板状衬底的衬底保持装置,具有:
基底构件;和
配置在上述基底构件上,使得与上述衬底接触的顶端部分实质上位于同一平面上的多个突起状的支持部分;
上述基底构件,除去上述衬底的载置面中与上述衬底之间的接触面不同的一部分之外,在其表面上,使用与上述基底构件不同的材料施行涂敷。
更好是,上述涂敷材料是具有与上述基底构件不同的热膨胀系数的上述衬底的带电防止用的材料。
更好是,上述带电防止用的材料是导电性的材料。
更好是,上述基底构件和上述多个支持部分由具有低热膨胀系数的非导电性材料构成。
更好是,上述衬底是圆形,具有在上述基底构件上边设置成把上述多个支持部分围起来的闭合成圆环状的突部。
更好是,上述突部的高度设置成比上述多个支持部分低。
更好是,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
另外,本发明的曝光装置,用曝光光束照明第1物体,通过上述第1物体用上述曝光光束使第2物体曝光,具备:
保持器,具有与上述第2物体进行接触的顶端部分实质上位于同一平面上且配置成三角形的网格状的多个突起状的支持部分;和
载物台系统,包含设置上述保持器的可动体,
上述多个支持部分的被配置成三角形网格状的两个支持部分的间隔按照上述第2物体的允许变形量、杨氏模量以及厚度来决定。
更好是,上述载物台系统,为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向交叉的第2方向和底边平行的等腰三角形的网格状。
更好是,上述等腰三角形的高与上述第1方向平行且比上述底边长。
更好是,上述载物台系统,为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向交叉的第2方向和两边平行的平行四边形的网格状。
更好是,上述载物台系统,为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向有关的宽度,比有关与上述第1方向交叉的第2方向的宽度还宽的菱形的网格状。
更好是,上述第2物体是直径为大约300mm的半导体衬底,上述多个支持部分配置成边长为a的正三角形的网格状,并且该边长a为1mm~3mm。
更好是,所述曝光装置具有吸引载置在上述多个支持部分上的上述第2物体的吸引机构。
更好是,上述吸引机构,把上述第2物体的载置后的吸引力设定得弱于把上述第2物体载置到上述多个支持部分上时的吸引力。
更好是,上述吸引机构包括:把上述第2物体从上述多个支持部分上取下来时,向与上述第2物体之间供给气体的气体供给部分。
更好是,上述间隔是在考虑了基于上述吸引机构的上述第2物体的吸引力后决定的。
更好是,把上述多个支持部分配置成边长为a[m]的正三角形的网格状,在设对上述第2物体的每单位长度的吸引力为p[N/m]、上述第2物体的允许变形量为δmax[m]、扬氏模量为E[Pa]、以及厚度为t[m]时,上述长度a和吸引力p满足以下的条件:
p·a4≤18·E·t3·δmax。
更好是,上述第2物体是圆形,上述保持器具有设置成把上述多个支持部分围起来的闭合成圆环状的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
更好是,上述突部的高度设置成比上述多个支持部分低。
更好是,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
更好是,上述保持器,除去上述第2物体的载置面中与上述第2物体之间的接触面不同的一部分之外,在其表面上,使用与上述保持器不同的材料施行涂敷。
更好是,上述涂敷材料是具有与上述保持器不同的热膨胀系数的上述第2物体的带电防止用的材料。
另外,本发明的曝光装置,用曝光光束照明第1物体,通过上述第1物体用上述曝光光束使第2物体曝光,具备:
保持器,具有与上述第2物体进行接触的顶端部分实质上位于同一平面上的多个突起状的支持部分;和
载物台系统,包含设置上述保持器的可动体,
上述保持器,除去上述第2物体的载置面中与上述第2物体之间的接触面不同的一部分之外,在其表面上,使用与上述保持器不同的材料施行涂敷。
更好是,上述涂敷材料是具有与上述保持器不同的热膨胀系数的上述第2物体的带电防止用的材料。
更好是,上述带电防止用的材料是导电性的材料。
更好是,所述曝光装置具有上述多个支持部分的保持器由具有低热膨胀系数的非导电性材料构成。
更好是,所述曝光装置具备:吸引载置在上述多个支持部分上的上述第2物体的吸引机构。
更好是,上述吸引机构,把上述第2物体的载置后的吸引力设定得弱于把上述第2物体载置到上述多个支持部分上时的吸引力。
更好是,上述吸引机构包括:在把上述第2物体从上述多个支持部分上取下来时,向与上述第2物体之间供给气体的气体供给部分。
更好是,上述第2物体是圆形,上述保持器具有设置成把上述多个支持部分围起来的闭合成圆环状的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
更好是,上述突部的高度设置成比上述多个支持部分低。
更好是,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
另外,本发明还具有以下形态:
倘采用本发明的第1形态,则可以提供这样的衬底保持装置:在保持平板状衬底的衬底保持装置中,具有基底构件,和使得每一个顶端部分实质上都位于同一平面上且在该基底构件上边配置成三角形网格状的多个突起状的支持部分,并把该衬底载置到该多个支持部分上边。
就如这样的本发明的第1形态的衬底保持装置所示,在把与该衬底接触的多个支持部分配置成三角形网格状的情况下,与把该多个支持部分配置成例如四角形网格状的情况下比较,对于该支持部分的密度(与该衬底的接触面积)该多个支持部分与重心位置之间的间隔变短,该衬底的变形量将减小。因此,倘采用本发明,如果设异物被夹持在该衬底与该多个支持部分之间的可能性与现有例同等程度,则可以减小在用静电吸附或真空吸附等进行吸附的情况下该衬底的变形量,可以抑制该衬底平坦度的恶化。因此,在应用于曝光装置的情况下,就可以以高的析象清晰度把掩模图形复制到该衬底的整个面上。
在这种情况下,更好是,在其基底构件一侧,设置吸引在该多个支持部上边的该衬底的吸引机构。借助于该吸引,就可以防止例如在移动该衬底保持装置时该衬底的横向偏移。
此外,在用真空吸附方式构成该吸引机构的情况下一个例子,具有在该基底构件上边把该多个支持部分围起来那样地设置并闭合成圆环状的突部,和吸引该突部内侧的气体的气体吸引部分。在该构成中,为了进一步降低异物被夹持在该突部与该衬底之间的可能性,更好是在该突部的上表面上也设置用来保持该衬底的多个极其之浅的台阶的(漏泄小的)突起状的支持部分。
在这些情况下,在该基底构件一侧设置吸引该多个支持部分上边的该衬底的吸引机构,设把该多个支持部分配置成边长a[m]的正三角形的网格状,在把该衬底吸引到该基底构件一侧时的单位长度的吸引力为p[N/m],吸引该衬底时的允许变形量为δmax[m],该衬底的扬氏模量为E[Pa],该衬底的厚度为t[m]时,更好是作成为使得该正三角形的长度a和该吸引力p满足以下的条件。
p*a4≤18*E*t3*δmax    ......(1)
采用满足该条件的办法,就可以把因吸引而产生的衬底的变形量抑制到允许变形量δmax以下。
其次,倘采用本发明的第2形态,则可以提供这样的衬底保持装置:在保持平板状衬底的衬底保持装置中,具有基底构件,和使得每一个顶端部分实质上都位于同一平面上且配置在该基底构件上边的多个突起状的支持部分,并把导电性的材料被覆于具备与该支持部分的该衬底之间的接触面的规定区域上,在该规定区域之间部分地设置未被覆该导电性材料的区域。更好是,条带状地设置该区域。
倘采用这样的本发明的第2形态的衬底保持装置,由于例如条带状地设置未被覆导电性的材料(带电防止用的材料)的区域,故借助于形成该基底构件或该支持部分的材料与该导电性的材料之间的热膨胀系数的差异,就可以抑制该导电性材料的断裂或剥离。为此就可以把该导电性的材料被覆得某种程度地厚,就可以在被覆上该导电性材料之后再进行与该衬底之间的接触面的加工。因此,可以使与该衬底之间的接触面的平坦度变得良好,因而可以良好地保持该衬底的平坦度。
在这种情况下,更好是,用低膨胀系数的非导电性的材料形成该基底构件和该多个支持部分。这是因为要减小温度变化所产生的伸缩的缘故。
其次,倘采用本发明的第3形态,则可以提供这样的衬底保持装置:在保持平板状衬底的衬底保持装置中,设置基底构件,和使得每一个顶端部分实质上都位于同一平面上边那样地配置在该基底构件上边的多个突起状的支持部分、在该基底构件上边设置成使得把该多个支持部分围起来闭合成圆环状的突部、吸引该突部内侧的气体的气体吸引部分,与把该衬底载置到该多个支持部分上边时的吸引力比较,把该衬底载置后的吸引力设置得弱一些。在这种情况下,更好是,与该衬底载置时比较,至少在该衬底加工(曝光等)时把该气体的吸引力设定的弱一些。
倘采用这样的本发明的第3形态的衬底保持装置,就可以把该衬底载置到该多个支持部分上边时的吸附开始动作时的吸引力设定得比该衬底载置后(例如曝光等的加工时)的吸引力大。因此,可以缩短从吸附开始到得到作为目标的吸附力为止的时间,在应用于曝光装置的情况下就可以提高曝光工序的吞吐率。此外,加工时的吸引力,由于例如被设定为使得不发生该衬底的位置偏移的那种程度,故可以把因吸附而产生的衬底的变形抑制到最小限度。
在这种情况下,在从该多个支持部分上边取下该衬底时,更好是设置向该圆环状的突部的内侧吹气体的气体供给部分。借助于此,可以使该衬底的吸附解除动作高速化。
此外,倘采用本发明的第4形态,则可以提供这样的曝光装置:在用曝光光束通过第1物体使第2物体曝光的曝光装置中,具备本发明的第1到第3任何一个形态的衬底保持装置,并用该衬底保持装置来保持作为该衬底的该第2物体。倘采用本发明的第4形态的曝光装置,由于具备本发明的第1到第3任何一个形态的衬底保持装置,故可以良好地保持该衬底的平坦度而无须降低该衬底的吸附力,可以以高的吞吐率制造高功能的器件。
附图说明
本发明的上述和其它的目的、特征和优点,通过附图和以下的详细的说明会更加明白。其中,
图1A的平面图示出了本发明的一个实施形态的一个例子的晶片保持器WH。
图1B是沿图1A的BB线的剖面图。
图2的扩大图示出了图1A的基底构件4上边配置有多个插针的区域的一部分。
图3A是沿图2的AA线的剖面图。
图3B的剖面图示出了已配置上假想的插针1A的情况。
图4示出了由该一个实施形态的近似式求得的晶片的变形量和用有限元法求得的晶片的变形量之间的比较。
图5示出了在该一个实施形态的晶片保持器的表面中,涂敷晶片的带电防止用的材料42的区域。
图6的构成图示出了把图1的晶片保持器WH当作衬底保持装置所具备的投影曝光装置的一个例子。
图7的流程图示出了在把晶片载置到晶片保持器WH上边时和从晶片保持器WH上边取出晶片时的动作。
图8示出了现有的晶片保持器上边的已配置上多个插针41的区域。
具体实施方式
以下,参看附图对本发明的一个实施形态进行说明。本例是把本发明应用到投影曝光装置的晶片保持器及其附属机构中去的例子。
图1A的平面图示出了本例的晶片保持器WH。图1B示出了图1A的BB线剖面图。在图1A、1B中,晶片保持器WH的构成是,在大体上正方形的平板状的基底构件4的上表面上,形成由比作为保持对象的晶片的轮廓稍微小一点的圆环状闭合的凸部构成的凸缘部分2,把多个插针1以正三角形的网格状配置在被该凸缘部分围起来的区域内。该基底构件4,作为一个例子可以用低膨胀系数的陶瓷形成,采用对该基底构件4的上表面进行刻蚀的办法,形成多个插针1和凸缘部分2。但是也可以单独地制造多个插针1和凸缘部分2然后再通过粘接等分别固定到基底构件4上边。此外,基底构件4其形状并不限定于大体上的正方形,也可以是大体上的圆形。
在这种情况下,多个插针1和凸缘部分2,分别与本发明的多个突起状的支持部分和闭合成圆环状的突部对应,该多个插针1的顶端部分和凸缘部分2的顶端部分,位于同一平面Q上。另外,凸缘部分2只要其内径(内面的轮廓)比保持对象的晶片的轮廓小即可,其外径(外面的轮廓)也可以比该晶片的轮廓大。此外,在本例中,由于晶片作为在其预定位对准等中使用的外形基准定为具有定向平面(orientation flat)的外形,故在图1A中,圆环状的凸缘部分2,其一部分已变成为直线状。但是,作为该外形基准,也可以不用定向平面而代之以使用凹口,在这种情况下,凸缘部分2将变成为大体上的圆形。以下,把Z轴取为与平面Q垂直,把与Z轴垂直的平面(与图1A的纸面平行的平面)内的直角坐标系作为X轴和Y轴进行说明。
首先,本例的晶片保持器WH,如在图1B中用2点锁线表示的那样,被固定在投影曝光装置的晶片台的样品台58上边之后使用,把曝光对象的晶片的底面载置到与多个插针1和凸缘部分2的顶端部分接连的平面Q的位置上。这时,在基底构件4的中央部分处形成贯通孔,把中心插针3配置为从样品台58的内部通过该贯通孔在Z方向上自由升降,采用使该中心插针3下降或上升的办法,分别进行晶片的运进(装入)和运出(取出)。另外,也可以作成为省略中心插针3,把搬运用的搬运臂插入到凸缘部分2的外侧突出出来的晶片的外周部分的底面上,使该搬运臂进行升降,进行晶片的装卸。此外,凸缘部分2的外径与晶片的轮廓(外径)相同或比其轮廓稍小的情况下,例如可以使凸缘部分2的一部分凹进去,使得搬运用的搬运臂可以升降。
此外,如图1A所示,在基底构件4上,以中心插针3的位置为中心,使得与插针1不进行机械干扰而且在大体上等角度间隔的3个方向上,形成一连串的供气排气孔6A、6B和6C,这些供气排气孔6A~6C,通过基底构件4内部的通气孔与外部的供气排气管5连通。此外,在晶片保持器WH的外部,具备用来以规定的吸附力真空吸附晶片的真空泵7、用来高速地吸附晶片的真空室8a,进行该真空室8a的内部排气的真空泵8b和在从晶片保持器WH中把晶片取下来(取出)时使用的供气装置9。该真空泵7、真空室8a、和供气装置9分别通过电磁性自由开闭的阀门V1、V2和V3连接到供气排气管5上。然后,连接用来测量供气排气管5的内部气压的气压计(未画出来),把用该气压计测定的气压的测量值供给控制系统(未画出来),该控制系统根据该气压和晶片的装入或取下的控制信息,控制阀门V1~V3的开闭和真空泵7、8a和供气装置9的动作。由晶片保持器WH、真空泵7、8b、真空室8a、供气装置9、供气排气管5、阀门V1~V3和未画出来的控制系统等构成的晶片保持器系统,与本发明的衬底保持装置对应。
作为基本动作,采用在装入晶片时,在阀门V1~V3已闭合的状态下,使中心插针3下降,把晶片载置到多个插针1和凸缘部分2的上边之后,打开阀门V1使真空泵7动作的办法,用规定的真空吸附力保持晶片。另一方面,在取出晶片时,在使真空泵7的动作停止,关上阀门V1之后,作为一个例子,使阀门V3打开,把与大气压相同气压的气体导入到凸缘部分2的内侧,在用上述气压计测量的气压达到了大体上大气压(大体上1个气压)的那一时刻,使中心插针3上升。另外,至于真空室8a和供气装置9的使用例将在后边讲述。
在本例的晶片保持器WH的基底构件4上设置的多个插针1,配置为正三角形的网格状。即,多个插针1被配置在使正三角形和进行180度旋转(反转)后的正三角形在X方向和Y方向上交互地紧密配置而得到的周期性网格的各个网格点上。将详细地对采用该配置的理由进行说明。
图2扩大示出了本例的晶片保持器WH的基底构件4上边的已配置上多个插针1的区域,在该图2中,多个插针1被配置在边长为a2的正三角形的网格的各个网格点上。在这里,设位于1个正三角形的各个顶点上的3个插针1的中心(把它看作是与晶片之间的接触部分的中心点)为B1、B2、B3,设与含有点B1~B3的晶片之间的接触部分的面积为A。这时,由于各个点B1~B3分别作为邻接的6个正三角形的顶点共有,故以点B1~B3为顶点的正三角形的区域中的晶片与插针1之间的接触率ρ2,可以以下式表示。另外,在以下的各个式子中,
Figure C0111182800211
意味着y的平方根。
ρ2=(3*A/6)/{a2*(/2)*a2/2}
   =(2/)(A/a22)    ......(2)
另一方面,图8示出了现有的晶片保持器中的插针配置的一个例子,在图8的晶片保持器中,把晶片支持在基底构件40上边的多个插针41被配置在边长为a1的正方形的网格的各个网格点上。在这里是把位于1个正方形的各个顶点上的4个插针41的中心(与晶片之间的接触部分的中心点)设为点A1~A4,设与含有点A1~A4的晶片之间的接触部分的面积为与图2的排列相同的A。这时,由于各个点A1~A4分别作为邻接的4个正方形的顶点共有,故以点A1~A4为顶点的正方形的区域中的晶片与插针41之间的接触率ρ1,可以以下式表示。
ρ1=(4*A/4)/a12
   =A/a12    ......(3)
在这里,若考虑图8的正方形的网格的配置中的接触率ρ1与图2的正三角形的网格的排列中的接触率为ρ2相等的情况,如果设式(2)与式(3)相等,则正三角形的边长a2可以用正方形的边长a1表示为下式。
a22=(2/)a12    ......(4)
此外,由真空吸附引起的晶片的变形量,离开插针1、41的中心的位置越远则越大,该变形量在离图8的正方形的区域的重心G1和图2的正三角形的区域重心G2的位置处分别变成为最大。这样一来,从图2的重心G2到最近的插针1的中心为止的距离h2,从图8的重心G1到最近的插针41的中心为止的距离h1,分别可以用以下的式子表示。
h2=(1/)a2     ......(5)
h21=(1/)a1    ......(6)
因此,用式(4)~(6),对于距离h2、h1可以得到如下的关系式。
h12/h22=(3)/4>1,
h1/h2>1    ......(7)
这意味着h1>h2。因此,在接触率ρ1、ρ2相等的情况下,比起把插针配置成正方形的网格状来,把插针配置成正三角形的网格状的一方,可以把插针配置得紧密,且从插针的中心到晶片的变形量变成为最大的重心位置为止的距离变短,因而将减小因真空吸附而产生的晶片的变形量。就是说,在本例中,在与晶片之间的接触率和现有例相等(把异物夹在中间的概率相等)的情况下,把插针1排列成正三角形的网格状,使得因真空吸附而产生的晶片的变形量变得比现有例还小。
其次,参看图3A、3B和图4对插针1的配置间隔的决定方法进行说明。
图3A是沿着把晶片载置到图2的多个插针1上边并进行真空吸附的情况下的图2的AA线的剖面图。如在图3中夸张地示出的那样,插针1上边的晶片W已经因吸附力而变形。此外,在图3A中,把图2的重心G2表示为距点B1为距离h2的点。在本例中,首先,把晶片W的变形量近似计算为加上等分布荷重的长度2*h2的两端支持梁。为此,如图3B所示,假想性地把插针1A配置在对于点B1来说与重心G2对称的位置上,把晶片W看作是点B1的插针1与插针1A之间的长度为2*h2的两端支持梁,用近似计算法计算出在该两端支持梁的重心G2处的变形量(最大变形量δ)。若设加在两端支持梁上的单位长度的荷重为w[N/m]、两端支持梁的长度为h[m]、晶片W的扬氏模量为E[Pa]、断面2次力矩为I[m4],则两端支持梁的最大变形量δ可以用众所周知的式子表示。
δ=w*h4/(384E*I)    ......(8)
其中,若设两端支持梁的宽度为b、厚度为t,则断面2次力矩I可以用以下的式子表示。
I=(1/12)b*t3    ......(9)
此外,两端支持梁的长度h,借助于(6)式可以用下式表示。
h=2*h2=(2/)a2    ......(10)
此外,加在两端支持梁上的单位长度的荷重w,在真空吸附晶片W时的单位长度的吸引压力为p[N/m],设两端支持梁的宽度b为单位长度(b=1),晶片W的允许变形量δmax为两端支持梁的最大变形量δ,则式(8)将变成为下式。
δmax=δ=p(2*a2/)4/(32E*t3)
     =p*a24/(18E*t3)    ......(11)
该允许变形量δmax,作为一个例子,是投影曝光装置的投影光学系统的焦点深度范围的大约1/2左右。此外,若使式(11)变形,则为了使晶片W的最大变形量变成为允许变形量δmax以下,则插针1的配置间隔a2(正三角形排列的边长)与吸引压力p(吸附力)之间的关系,可以用下式表示。
p*a24≤18E*t3*δmax    ......(12)
例如,在用吸引力p=-78*103N/m(=-600mmHg)吸附SEMI规格的8英寸晶片(直径=大约200mm、t=0.725mm、扬氏模量E=110.7*109Pa)的情况下,从焦点深度等的观点来看,在想要把晶片的变形量抑制到例如4nm以下(δmax=4nm)的情况下,插针1的配置间隔a2的范围可以用式(12)如下那样地计算。另外。吸引压力p用绝对值进行计算。
78*103*a24≤18*110.7*109*(0.725*10-3)3*4*10-9
a24≤3.894*10-11
a2≤2.50*10-3[m]    ......(13)
因此,在晶片的允许变形量δmax=4nm的情况下,只要把插针1的配置间隔a2设定为2.50mm以下即可。
图4示出了在改变插针1的配置间隔a2的情况下,由上述式(11)求得的8英寸晶片的最大变形量和用有限元法求得的晶片的变形量之间的比较,在该图4中,纵轴表示晶片的最大变形量δ[nm],横轴表示吸引压力p(但是单位用mmHg表示)。此外,实线11和虚线10表示在设插针的配置间隔a2分别为2.5mm和3.0mm的情况下用式(11)求得的晶片的变形量δ与吸引压力p之间的关系。此外,圆点13和三角形状的点12表示在设插针的配置间隔a2分别2.5mm和3.0mm的情况下用有限元法求得的晶片的变形量δ与吸引压力p之间的关系。此外,在以下的(表1)中示出了图4的数值。
表1
  吸引压力p(mmHg)   晶片的最大变形量δ(nm)
  本例的近似式   有限元法
  a2=2.5mm   a2=3.0mm   a2=2.5mm   a2=3.0mm
  -100-200-300-400-500-600   0.71.42.12.73.44.1   1.42.84.35.77.18.5 2.83.4 35.88.4
由图4和表1可知,用式(11)求得的结果和用有限元法求得的结果变成为大体上相近的值,式(11)可以有效地使用。
此外,在同样的条件,在真空吸附SEMI规格的12英寸晶片(直径大约300mm、t=0.775mm、扬氏模量E与8英寸晶片相同)的情况下,用式(12)可以求得:只要把配置间隔设定为2.63mm以下即可。此外,对于12英寸来说,采用把插针1的配置间隔a2设定为以下的范围内的办法,除可以得到使晶片允许变形量δmax变成为实用的几nm那种程度以下之外,还可以得到大体上所必要的吸引压力p(吸附力)。
1mm<a2<3mm    ......(14)
另外,上述实施形态的插针1的配置虽然是正三角形的网格状,但是该配置并不是非严格的正三角形网格不可,通常的加工误差程度的配置变化也是允许的。此外,在把图1的晶片保持器WH应用于例如扫描曝光式的曝光装置的情况下,当设晶片的扫描方向为Y方向时,晶片上边的曝光区域(后边要说的曝光光束IL的照射区域)将沿着非扫描方向(X方向)变成为细长的条带状。于是,作为一个例子,为了把晶片的非扫描方向的平面度提高得比扫描方向的平面度还高,也可以把插针1的配置作成为底边与X轴平行,另外的2边比底边还长且彼此相等的等腰三角形形状的配置。此外,所谓三角形网格状地进行配置,如果改变一种看法,也可以说把插针配置成平行四边形(或菱形)的网格状。
此外,在图1的实施形态中,由于凸缘部分2的上表面是平面,故有可能会把光刻胶残渣等的异物夹持在凸缘部分2的上表面与载置在其上边的晶片之间。于是,为了减少夹持异物的可能性,也可以在凸缘部分2的上表面上形成与插针1同样的多个插针(突起状的支持部分)。在该构成例中,要把凸缘部分2的高度调整为使得凸缘部分2上边的插针的上端部分与基底构件4上边的插针1的上端部分都在同一平面Q上接连。这样一来,为了减少来自凸缘部分2的插针间的真空吸附时的气体的漏泄量,作为一个例子若设基底构件4上边的插针1的高度(深度)为200~300微米左右,则凸缘部分2上边的插针的高度将被设定极浅的10微米左右(1/20~1/30左右)。此外,凸缘部分2上边的多个插针的配置,虽然可以是正三角形形状,但是除此之外也可以是沿着凸缘部分2的多列大体上同心圆状的配置。
其次,参看图5,说明对图1的晶片保持器WH的晶片带电防止用的材料的涂敷。
图5扩大示出了图1的晶片保持器WH的一部分,在该图5中,用蒸镀法或溅射法等向本例的晶片保持器的基底构件4、插针1和凸缘部分2的表面上,涂敷例如由氮化钛(TiN)或碳化钛(TiC)等的导电性材料构成的晶片的带电防止用的材料42,使得晶片保持器的背面接地。带电防止用的材料42与本发明的导电性的材料对应,采用使该材料42接地的办法,使载置到晶片保持器上的晶片也接地,就可以防止该晶片的带电。
然后,在本例中,把给晶片保持器WH的基底构件4的表面的一部分没有涂敷上带电防止用的材料42的区域ST设置成条带状。借助于此,即便是用优质陶瓷或玻璃陶瓷等的低热膨胀系数的材料形成基底构件4的情况下,也可以借助于涂敷在基底构件4的表面上的带电防止用的材料42和形成基底构件4的材料之间的热膨胀系数的差,来抑制带电防止用材料42的断裂或剥离。因此,可以把带电防止用材料42涂敷得某种程度地厚一点,可以实现在已涂敷上带电防止用材料42之后,对于多个插针1和凸缘部分2的与晶片之间的接触面进行例如研磨加工以提高其平面度,因而可以良好地保持要进行吸附保持的晶片的平面度(平坦度)。
另外,未进行带电防止用的涂敷的区域ST的形状,并不限定于条带状。此外,如图5的例子所示,带电防止用的涂敷的防止断裂的技术,不仅仅对于象图2那样具有配置成正三角形形状的插针的晶片保持器,对于具有配置成正方形的网格状的多个插针的晶片保持器和配置成同心圆状的多个圆环状闭合的凸部的晶片保持器等也同样地可以应用。此外,在本例中,在晶片保持器的表面上涂敷的材料并不限定于带电防止用,例如也可以是硬度比基底构件4还高的材料。
其次,参看图6和图7,对把图1的晶片保持器WH应用于投影曝光装置中去的情况下的一个例子进行说明。
图6示出了在本例中使用的投影曝光装置,在图6中,在曝光时,借助于由汞灯或准分子激光光源、光学积分仪、可变视野快门和电容透镜系统等构成的照明光学系统51,对于作为掩模的刻线R照射作为曝光光束的曝光光束IL。这样一来,在刻线R上形成的图形的像,就通过投影光学系统PL用投影倍率α(α为1/5,1/4等)向已涂敷上作为衬底的光刻胶的晶片W上边的1个拍摄区域投影。刻线R和晶片W可以分别被看作第1物体和第2物体。这时,根据主控制系统53的控制信息,曝光量控制系统52使曝光量优化。以下,把Z轴取为与投影光学系统PL的光轴AX平行,把X轴取为在与Z轴垂直的平面内与图6的纸面平行,把Y轴取为与图6的纸面垂直以进行说明。
这时,刻线R被吸附保持在刻线台54上边。根据基于用激光干涉仪56得到的刻线台54的坐标测量值的驱动系统57的控制信息,刻线台54,在刻线基座55上边的X方向、Y方向和旋转方向上定位刻线R。
另一方面,晶片W就借助于真空吸附保持在晶片保持器WH上边,晶片保持器WH被固定在样品台(Z升降台)58上边。而样品台58则被固定在通过空气轴承漂浮在定盘60上边那样地支持着的XY台59上边。样品台58控制晶片W的Z方向的位置(聚焦位置)和倾斜角,并用自动聚焦方式把晶片W的表面精确对准到投影光学系统PL的像面上,XY台59根据基于用激光干涉仪61测量的样品台58的位置的驱动系统62的控制信息,使样品台58在X方向、Y方向上步进移动,采用用步进重复方式反复地使由XY台59进行的步进移动和用来自刻线R的曝光光束IL进行的晶片W的曝光的办法,就可以进行向晶片W上边的各个拍摄区域进行刻线R的图形的复制。
其次,参看图7的流程图,对于把晶片W载置到晶片保持器WH上边时,和把晶片W从晶片保持器WH上边取出来时的动作,进行说明。
在把晶片W载置到晶片保持器WH上边时,首先,在图7的步骤201中,全部关闭图1A的阀门V1~V3,停止晶片保持器WH的吸附动作和供气动作。其次,在步骤202中,使晶片保持器WH中心的中心插针3上升,把未画出来的装入操作臂上边的晶片W转给中心插针3上边,接着,在步骤203中,在使装入操作臂退避之后,使中心插针3下降把晶片W载置到晶片保持器WH上边,在步骤204中,打开通向图1A的高速排气用的真空室8a的阀门V2,高速地排出被基底构件4、凸缘部分2和晶片W围起来的空间内的气体(高速吸引)。这时在本例中,为了提高吞吐率,采用使用真空室8a的办法,把吸引压力提高到例如-600mmHg左右。
接着,在高速地吸附上晶片W之后,在步骤205中,关闭图1A的阀门V2,打开通向用于通常使用的真空泵7的排气用的阀门V1,借助于真空泵7的吸引力吸附晶片,转移到步骤206的曝光动作。在载置上晶片W后,到从晶片保持器WH中取出晶片W为止的期间,不需要那么高的吸引压力,只要有不会归因于晶片台的移动等使晶片W横向偏移而给预定位对准精度等以坏影响的那种程度的吸引压力(吸附力)即可。于是,在本例中,为了把由真空吸附所产生晶片W的变形抑制到最小限度,把通常使用的真空泵7的吸引压力降低到例如-200~-250mmHg左右。
如上所述,采用在把晶片载置到晶片保持器WH上边时和进行除此之外的动作时使吸引压力不同的办法,就可以缩短把晶片载置到晶片保持器WH上边时的时间,提高曝光工序的吞吐率的同时,还可以把因真空吸附所产生的晶片的变形抑制到最小限度。
其次,在从晶片保持器WH上边取出晶片时,首先,在图7的步骤211中,关闭图1A的排气用的阀门V1,停止吸附动作。然后,在步骤212中,在使中心插针3上升的同时,打开供气用的阀门V3向晶片W的底面吹气体,在步骤213中,从晶片保持器WH把晶片W转给中心插针3,再从中心插针3把晶片转给未画出来的取出操作臂,在步骤214中,进行晶片交换。
如上所述,在从晶片保持器WH取出晶片时,采用向晶片的底面吹气体的办法,就可以缩短晶片的取出时间,提高曝光工序的吞吐率。此外,还可以缩短进行晶片的位置检测的定位对准传感器等的大气开放等待时间。
另外,作为图6的投影曝光装置的晶片保持器WH,不仅限于象图2所示的那样具有配置成正三角形状的插针的晶片保持器,也可以同样地使用具有配置成正方形的网格状的多个插针的晶片保持器和具有配置成同心圆状的多个圆环状闭合的凸部的晶片保持器等。再有,作为图6的投影曝光装置的晶片保持器WH,也可以是不具有没有图5所示的涂敷材料42的区域ST的晶片保持器等。
另外,在作为曝光光束使用真空紫外光的情况下,虽然对于氦等的曝光光束,用透过性的气体置换曝光光束的光路上的气体,但是在这样的情况下,在上述步骤212中,向晶片的底面吹的气体,更好是对于曝光光束也变成为透过性的气体。此外,在步骤212中,吹向晶片的底面的气体的量,作成为使得晶片不漂浮起来那种微小的量是理想的。
另外,在上述的实施形态中,虽然为了把晶片吸附到晶片保持器上而进行了真空吸附,但是除此之外,例如在用静电吸附来吸附晶片的情况下,也可以应用本发明。
此外,图6的投影曝光装置,并不限于步进重复方式的装置,也可以把投影曝光装置构成为步进扫描方式或反射镜投影方式等的扫描曝光方式,或在感光衬底上边部分地重叠复制多个图形的步进缝合方式。再有也可以把本发明应用到不使用投影光学系统的例如邻近方式的曝光装置等中去。此外,曝光光束也不限于紫外光,作为曝光光束,也可以使用EUV(Extreme Ultraviolet,远紫外)光、X射线、电子束或离子束等的带电粒子射线等。另外,在图6的投影曝光装置是扫描曝光方式的情况下,以与投影光学系统PL的倍率大体上一致的速度比,同步驱动刻线台54和XY台59,使刻线R和晶片W对于曝光光束IL分别沿着扫描方向(例如,Y方向)进行相对移动。即,与使刻线R对于用照明光学系统51照射曝光光束IL的照明区域进行相对移动同步,使晶片W对于用投影光学系统PL照射曝光光束IL的曝光区域(与投影光学系统PL有关,与照明区域共轭且可以形成刻线R的图形的投影区域)进行相对移动。借助于该同步移动,就可以用曝光光束IL照明刻线R的图形的整个面,同时还可以用曝光光束IL使晶片W上边的1个目标区域的整个面进行扫描曝光,把刻线R的图象复制到该目标区域上边。
此外,上述实施形态的投影曝光装置,可以采用下述方法制造:把由多个透镜构成的照明光学系统、投影光学系统组装到曝光装置本体内并进行调整,把由多个机械部件构成的刻线台和晶片台安装到曝光装置本体内,连接配线和管道,然后再进行综合调整(电气调整、动作确认等)。另外,该曝光装置的制造,更好是在对温度和超净度等进行管理的超净房间内进行。
再有,在使用上述实施形态的投影曝光装置在晶片上边制造半导体器件的情况下,该半导体器件,要经下述工序制造:进行器件的功能和性能设计的步骤;制造以该步骤为基础的刻线的步骤;用硅材料制作晶片的步骤;用上述实施形态的投影曝光装置进行定位对准后把刻线的图形曝光于晶片上的步骤;器件组装步骤(包括切片工序、键合工序、封装工序);检查工序等。
此外,本发明还可以应用于液晶显示器件、等离子体显示器件等的显示器件,在制造摄象器件(CCD)或微机械、薄膜磁头等的器件等时使用的曝光装置,和用复制方式制造掩模或刻线时使用的曝光装置。此外,本发明还可以应用于在用来制造器件的光刻工序中使用的曝光装置以外的各种装置(检查装置等)。此外,在本发明的衬底保持装置中保持的衬底,并不限于圆形形状(圆板形状),也可以是矩形等形状。
以上虽然示出了各种典型的实施形态且进行了说明,但本发明不受这些实施形态的限制。

Claims (27)

1.一种保持平板状衬底的衬底保持装置,其特征在于,具有:
基底构件;和
多个突起状的支持部分,其每一个顶端部分实质上都位于同一平面上而且在上述基底构件上边被配置成三角形的网格状,
如果设该多个支持部分中被配置成三角形网格状的两个支持部分的间隔为a[m],设对上述衬底的吸引力为p[N/m],设上述衬底的允许变形量为δmax[m],设扬氏模量为E[Pa],设厚度为t[m],则满足:
p·a4≤18·E·t3·δmax。
2.如权利要求1所述的衬底保持装置,其特征在于,在上述基底构件一侧设置有用来吸引上述多个支持部分上边的上述衬底的吸引机构。
3.如权利要求2所述的衬底保持装置,其特征在于,具有:以与上述衬底的轮廓实质上相同的形状,在上述基底构件上边把上述多个支持部分围起来而设置的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
4.如权利要求1所述的衬底保持装置,其特征在于,上述衬底是直径为大约300mm的半导体衬底,上述多个支持部分配置成边长为a的正三角形的网格状,并且该边长a设为1mm~3mm。
5.如权利要求1所述的衬底保持装置,其特征在于,上述衬底是圆形,具有在上述基底构件上边设置成把上述多个支持部分围起来的闭合成圆环状的突部。
6.如权利要求5所述的衬底保持装置,其特征在于,上述突部的高度设置成比上述多个支持部分低。
7.如权利要求6所述的衬底保持装置,其特征在于,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
8.如权利要求1-7中任意1项所述的衬底保持装置,其特征在于,上述基底构件,除去上述衬底的载置面中与上述衬底之间的接触面不同的一部分之外,在其表面上,使用与上述基底构件不同的材料施行涂敷。
9.如权利要求8所述的衬底保持装置,其特征在于,上述涂敷材料是具有与上述基底构件不同的热膨胀系数的上述衬底的带电防止用的材料。
10.如权利要求9所述的衬底保持装置,其特征在于,上述带电防止用的材料是导电性的材料。
11.如权利要求10所述的衬底保持装置,其特征在于,上述基底构件和上述多个支持部分由具有低热膨胀系数的非导电性材料构成。
12.一种曝光装置,用曝光光束照明第1物体,通过上述第1物体用上述曝光光束使第2物体曝光,其特征在于,具备:
保持器,具有与上述第2物体进行接触的顶端部分实质上位于同一平面上且配置成三角形的网格状的多个突起状的支持部分;和
载物台系统,包含设置上述保持器的可动体,
如果设上述多个支持部分中被配置成三角形网格状的两个支持部分的间隔为a[m],设对上述第2物体的吸引力为p[N/m],设上述第2物体的允许变形量为δmax[m],设扬氏模量为E[Pa],设厚度为t[m],则满足:
p·a4≤18·E·t3·δmax。
13.如权利要求12所述的曝光装置,其特征在于,上述载物台系统,为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向交叉的第2方向和底边平行的等腰三角形的网格状。
14.如权利要求13所述的曝光装置,其特征在于,上述等腰三角形的高与上述第1方向平行且比上述底边长。
15.如权利要求12所述的曝光装置,其特征在于,上述载物台系统,为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向交叉的第2方向和两边平行的平行四边形的网格状。
16.如权利要求12所述的曝光装置,其特征在于,上述载物台系统,为了通过上述第1物体用上述曝光光束对第2物体进行扫描曝光,分别相对于上述曝光光束移动上述第1和第2物体,上述多个支持部分配置成在上述扫描曝光时与上述第2物体进行移动的第1方向有关的宽度,比有关与上述第1方向交叉的第2方向的宽度还宽的菱形的网格状。
17.如权利要求12所述的曝光装置,其特征在于,上述第2物体是直径为大约300mm的半导体衬底,上述多个支持部分配置成边长为a的正三角形的网格状,并且该边长a为1mm~3mm。
18.如权利要求12所述的曝光装置,其特征在于,具有吸引载置在上述多个支持部分上的上述第2物体的吸引机构。
19.如权利要求18所述的曝光装置,其特征在于,上述吸引机构,把上述第2物体的载置后的吸引力设定得弱于把上述第2物体载置到上述多个支持部分上时的吸引力。
20.如权利要求18所述的曝光装置,其特征在于,上述吸引机构包括:把上述第2物体从上述多个支持部分上取下来时,向与上述第2物体之间供给气体的气体供给部分。
21.如权利要求18所述的曝光装置,其特征在于,上述第2物体是圆形,上述保持器具有设置成把上述多个支持部分围起来的闭合成圆环状的突部,上述吸引机构包含吸引该突部的内侧气体的气体吸引部分。
22.如权利要求21所述的曝光装置,其特征在于,上述突部的高度设置成比上述多个支持部分低。
23.如权利要求22所述的曝光装置,其特征在于,上述突部具有:顶端部分与上述多个支持部分的顶端部分位于同一平面上的、与上述多个支持部分不同的支持部分。
24.如权利要求12-23中任意1项所述的曝光装置,其特征在于,
上述保持器,除去上述第2物体的载置面中与上述第2物体之间的接触面不同的一部分之外,在其表面上,使用与上述保持器不同的材料施行涂敷。
25.如权利要求24所述的曝光装置,其特征在于,上述涂敷材料是具有与上述保持器不同的热膨胀系数的上述第2物体的带电防止用的材料。
26.如权利要求25所述的曝光装置,其特征在于,上述带电防止用的材料是导电性的材料。
27.如权利要求26所述的曝光装置,其特征在于,具有上述多个支持部分的保持器由具有低热膨胀系数的非导电性材料构成。
CNB011118288A 2000-03-13 2001-03-13 衬底保持装置和具备该装置的曝光装置 Expired - Fee Related CN1306583C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000069321 2000-03-13
JP69321/2000 2000-03-13
JP61769/2001 2001-03-06
JP2001061769A JP2001332609A (ja) 2000-03-13 2001-03-06 基板保持装置及び露光装置

Publications (2)

Publication Number Publication Date
CN1313633A CN1313633A (zh) 2001-09-19
CN1306583C true CN1306583C (zh) 2007-03-21

Family

ID=26587359

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011118288A Expired - Fee Related CN1306583C (zh) 2000-03-13 2001-03-13 衬底保持装置和具备该装置的曝光装置

Country Status (6)

Country Link
US (1) US6710857B2 (zh)
JP (1) JP2001332609A (zh)
KR (1) KR100752091B1 (zh)
CN (1) CN1306583C (zh)
SG (1) SG96605A1 (zh)
TW (1) TW490733B (zh)

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60217587D1 (de) * 2001-07-26 2007-03-08 Canon Kk Substrathalter und ein Belichtungsapparat
JP2003158173A (ja) * 2001-11-20 2003-05-30 Oki Electric Ind Co Ltd ウェハホルダ
JP4288694B2 (ja) * 2001-12-20 2009-07-01 株式会社ニコン 基板保持装置、露光装置及びデバイス製造方法
JP3769262B2 (ja) * 2002-12-20 2006-04-19 株式会社東芝 ウェーハ平坦度評価方法、その評価方法を実行するウェーハ平坦度評価装置、その評価方法を用いたウェーハの製造方法、その評価方法を用いたウェーハ品質保証方法、その評価方法を用いた半導体デバイスの製造方法、およびその評価方法によって評価されたウェーハを用いた半導体デバイスの製造方法
JP4458322B2 (ja) * 2003-01-14 2010-04-28 キヤノン株式会社 露光装置およびデバイス製造方法
EP1482370B1 (en) 2003-05-06 2012-02-01 ASML Netherlands B.V. Substrate holder for lithographic apparatus
EP1475666A1 (en) 2003-05-06 2004-11-10 ASML Netherlands B.V. Substrate holder for lithographic apparatus
TWI409853B (zh) * 2003-06-13 2013-09-21 尼康股份有限公司 An exposure method, a substrate stage, an exposure apparatus, and an element manufacturing method
EP1498777A1 (en) * 2003-07-15 2005-01-19 ASML Netherlands B.V. Substrate holder and lithographic projection apparatus
SG108996A1 (en) * 2003-07-23 2005-02-28 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1500984B1 (en) * 2003-07-23 2014-02-26 ASML Netherlands B.V. Article holder for a lithographic apparatus
EP1510868A1 (en) * 2003-08-29 2005-03-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1530089B1 (en) 2003-11-05 2011-04-06 ASML Netherlands B.V. Lithographic apparatus and method for clamping an article
EP1530088B1 (en) * 2003-11-05 2007-08-08 ASML Netherlands B.V. Lithographic apparatus
US7019820B2 (en) * 2003-12-16 2006-03-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088431B2 (en) * 2003-12-17 2006-08-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7019816B2 (en) * 2003-12-17 2006-03-28 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
JP4113509B2 (ja) * 2004-03-09 2008-07-09 スピードファム株式会社 被研磨物保持用キャリア
JP4826146B2 (ja) * 2004-06-09 2011-11-30 株式会社ニコン 露光装置、デバイス製造方法
SG186621A1 (en) 2004-06-09 2013-01-30 Nikon Corp Substrate holding device, exposure apparatus having same, exposure method, method for producing device, and liquid repellent plate
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
JP4579004B2 (ja) * 2005-02-23 2010-11-10 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
US20080166952A1 (en) * 2005-02-25 2008-07-10 Shin-Etsu Handotai Co., Ltd Carrier For Double-Side Polishing Apparatus, Double-Side Polishing Apparatus And Double-Side Polishing Method Using The Same
US20060271302A1 (en) * 2005-05-27 2006-11-30 Ehrlich James L Method of Assessing Productivity of Lactating Animals Using Fitted Parameters to a Mechanistic Lactation Model
KR101294450B1 (ko) * 2005-07-27 2013-08-07 코닝 인코포레이티드 유리시트를 측정하기 위한 장치 및 방법
US20070097346A1 (en) * 2005-10-28 2007-05-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP2007158076A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158075A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
KR100689843B1 (ko) * 2006-01-03 2007-03-08 삼성전자주식회사 웨이퍼 스테이지 및 이를 이용한 웨이퍼 안착방법
EP1981685B1 (en) * 2006-01-30 2012-05-30 MEMC Electronic Materials, Inc. Double side wafer grinder and methods for assessing workpiece nanotopology
US7659964B2 (en) * 2006-03-28 2010-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Level adjustment systems and adjustable pin chuck thereof
EP1840657A1 (en) * 2006-03-28 2007-10-03 Carl Zeiss SMT AG Support structure for temporarily supporting a substrate
KR20090006064A (ko) * 2006-04-05 2009-01-14 가부시키가이샤 니콘 스테이지 장치, 노광 장치, 스테이지 제어 방법, 노광 방법및 디바이스 제조 방법
US7978308B2 (en) * 2006-05-15 2011-07-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4904960B2 (ja) * 2006-07-18 2012-03-28 信越半導体株式会社 両面研磨装置用キャリア及びこれを用いた両面研磨装置並びに両面研磨方法
US8175831B2 (en) 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
JP5634864B2 (ja) * 2007-05-30 2014-12-03 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation リソグラフィック・プロセスに於ける、プロセス制御方法およびプロセス制御装置
JP2009130008A (ja) * 2007-11-21 2009-06-11 Toray Eng Co Ltd 塗布装置及びその基板保持方法
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP4605233B2 (ja) * 2008-02-27 2011-01-05 信越半導体株式会社 両面研磨装置用キャリア及びこれを用いた両面研磨装置並びに両面研磨方法
JP5276338B2 (ja) * 2008-02-27 2013-08-28 東レエンジニアリング株式会社 塗布装置
JP2009212345A (ja) * 2008-03-05 2009-09-17 Nsk Ltd ワークチャック、露光装置及びフラットパネル製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
NL2003758A (en) * 2008-12-04 2010-06-07 Asml Netherlands Bv A member with a cleaning surface and a method of removing contamination.
JP5420942B2 (ja) * 2009-03-19 2014-02-19 大日本スクリーン製造株式会社 パターン描画装置およびパターン描画方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102213920A (zh) * 2010-04-01 2011-10-12 亿力鑫系统科技股份有限公司 承载装置及具有该承载装置的曝光机
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9588441B2 (en) 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN104956465B (zh) * 2012-11-30 2018-05-29 株式会社尼康 搬送系统、曝光装置、搬送方法、曝光方法及器件制造方法、以及吸引装置
JP5521066B1 (ja) * 2013-01-25 2014-06-11 東京エレクトロン株式会社 接合装置及び接合システム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104037113B (zh) * 2013-03-04 2018-05-08 中微半导体设备(上海)有限公司 等离子体处理腔室的供气装置以及去夹持方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6340693B2 (ja) * 2013-07-18 2018-06-13 株式会社ブイ・テクノロジー 基板の保持装置及び密着露光装置並びに近接露光装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015064613A1 (ja) 2013-10-30 2015-05-07 株式会社ニコン 基板保持装置、露光装置及びデバイス製造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150377744A1 (en) * 2014-06-27 2015-12-31 The Procter & Gamble Company Systems and methods for predicting the performance of a vacuum unit on a material
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104238158B (zh) 2014-09-23 2017-02-08 深圳市华星光电技术有限公司 一种升降装置以及升降系统
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6500103B2 (ja) * 2015-06-12 2019-04-10 株式会社アルバック 基板保持装置及び成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102440560B1 (ko) * 2015-11-03 2022-09-06 삼성디스플레이 주식회사 레이저 결정화 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11664264B2 (en) 2016-02-08 2023-05-30 Asml Netherlands B.V. Lithographic apparatus, method for unloading a substrate and method for loading a substrate
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
PL3422396T3 (pl) * 2017-06-28 2021-12-13 Meyer Burger (Germany) Gmbh Urządzenie do transportu substratu, urządzenie do obróbki z płytą mieszczącą dostosowaną do nośnika substratu takiego urządzenia oraz sposób przetwarzania substratu za pomocą takiego urządzenia do transportu substratu oraz układ do obróbki
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP7143587B2 (ja) * 2017-12-28 2022-09-29 富士電機株式会社 半導体製造装置および半導体装置の製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10768534B2 (en) 2018-08-14 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Photolithography apparatus and method and method for handling wafer
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
TW202204889A (zh) 2020-05-09 2022-02-01 美商應用材料股份有限公司 晶圓吸附即時檢測的設備與方法
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112922952B (zh) * 2021-01-22 2021-12-14 宁波云德半导体材料有限公司 一种具有泄压功能的石英真空吸附装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0456426A1 (en) * 1990-05-07 1991-11-13 Canon Kabushiki Kaisha Vacuum type wafer holder

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57169244A (en) * 1981-04-13 1982-10-18 Canon Inc Temperature controller for mask and wafer
US4737824A (en) * 1984-10-16 1988-04-12 Canon Kabushiki Kaisha Surface shape controlling device
KR900001241B1 (ko) * 1985-04-17 1990-03-05 가부시기가이샤 히다찌세이사꾸쇼 광 노출 장치
JP2574818B2 (ja) 1987-11-16 1997-01-22 株式会社日立製作所 真空吸着固定台および真空吸着固定方法
EP0463853B1 (en) * 1990-06-29 1998-11-04 Canon Kabushiki Kaisha Vacuum chuck
JP3168018B2 (ja) * 1991-03-22 2001-05-21 キヤノン株式会社 基板吸着保持方法
JPH0521584A (ja) * 1991-07-16 1993-01-29 Nikon Corp 保持装置
JPH05235060A (ja) * 1992-02-21 1993-09-10 Citizen Watch Co Ltd 半導体集積回路装置とその製造方法
KR0166215B1 (ko) * 1995-12-19 1999-02-01 김광호 반도체 노광장치의 독립 진공형 웨이퍼 홀더
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
US5923408A (en) * 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
JP2991110B2 (ja) * 1996-05-01 1999-12-20 日本電気株式会社 基板吸着保持装置
JPH1092738A (ja) * 1996-09-18 1998-04-10 Canon Inc 基板保持装置およびこれを用いた露光装置
JPH10144776A (ja) * 1996-11-13 1998-05-29 Sumitomo Metal Ind Ltd Siウェハ保持用真空チャック
US6072163A (en) * 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
EP1059566B1 (en) 1999-06-11 2006-08-23 ASML Netherlands B.V. Lithographic projection apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0456426A1 (en) * 1990-05-07 1991-11-13 Canon Kabushiki Kaisha Vacuum type wafer holder

Also Published As

Publication number Publication date
KR20010091983A (ko) 2001-10-23
US20020008864A1 (en) 2002-01-24
CN1313633A (zh) 2001-09-19
SG96605A1 (en) 2003-06-16
TW490733B (en) 2002-06-11
KR100752091B1 (ko) 2007-08-28
US6710857B2 (en) 2004-03-23
JP2001332609A (ja) 2001-11-30

Similar Documents

Publication Publication Date Title
CN1306583C (zh) 衬底保持装置和具备该装置的曝光装置
CN1427309A (zh) 基板保持装置、曝光装置以及器件制造方法
JP6855010B2 (ja) 基板保持装置、露光装置及びデバイス製造方法
CN100338719C (zh) 偏转器及其制造方法和应用偏转器的带电粒子束曝光装置
CN1153258C (zh) 基片的加工设备、支撑设备、加工及制造方法
CN1324342C (zh) 投影光学系统、曝光装置及曝光方法
CN1290155C (zh) 曝光装置、表面位置调节单元、掩模和器件制造方法
CN101076877A (zh) 衬底保持装置、曝光装置以及器件制造方法
CN1507649A (zh) 保持装置、保持方法、曝光装置以及器件制造方法
TWI596698B (zh) 保持裝置、微影設備以及製造物品的方法
JP2010166085A (ja) ウェハチャックおよびそれを用いた露光装置ならびに半導体装置の製造方法
CN1636268A (zh) 曝光装置、基片处理系统和器件制造方法
CN1842893A (zh) 曝光装置和器件加工方法
JP6400120B2 (ja) 基板保持装置、リソグラフィ装置、及び物品の製造方法
CN1317725A (zh) 曝光设备的光学元件保持装置
CN1795535A (zh) 曝光方法、曝光装置以及器件制造方法
CN1461971A (zh) 曝光方法、曝光装置及器件制造方法
CN1570761A (zh) 光刻投影组件、装载锁闭装置和转移物体的方法
CN1474236A (zh) 十字标记保持方法、十字标记保持装置以及曝光装置
CN1969371A (zh) 光学构件的支撑方法及支撑构造、光学装置、曝光装置、以及元件制造方法
US9740109B2 (en) Holding device, lithography apparatus, and method for manufacturing item
CN1540443A (zh) 光刻投影组件、用于处理基底的处理装置和处理基底的方法
CN1473748A (zh) 十字标记搬运方法、十字标记搬运装置以及曝光装置
US20080100812A1 (en) Immersion lithography system and method having a wafer chuck made of a porous material
JP4086651B2 (ja) 露光装置及び基板保持装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070321