CN1258814C - 半导体器件的制造方法 - Google Patents

半导体器件的制造方法 Download PDF

Info

Publication number
CN1258814C
CN1258814C CNB02105097XA CN02105097A CN1258814C CN 1258814 C CN1258814 C CN 1258814C CN B02105097X A CNB02105097X A CN B02105097XA CN 02105097 A CN02105097 A CN 02105097A CN 1258814 C CN1258814 C CN 1258814C
Authority
CN
China
Prior art keywords
copper
containing film
film
carried out
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB02105097XA
Other languages
English (en)
Other versions
CN1372313A (zh
Inventor
青木秀充
富盛浩昭
冈田纪雄
宇佐美达矢
大音光市
谷国敬理
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1372313A publication Critical patent/CN1372313A/zh
Application granted granted Critical
Publication of CN1258814C publication Critical patent/CN1258814C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明的目的是提供一种半导体器件的制造方法,可以在控制其电阻增加的同时提高互连寿命,另外,可以提高制造稳定性;用包括氮元素的源气,通过对铜互连17的表面进行等离子处理,形成氮化铜层24,此后形成氮化硅膜18。在氮化铜膜24下,形成薄的硅化铜层25。

Description

半导体器件的制造方法
技术领域
本发明涉及半导体器件的制造方法,包括形成含铜膜的步骤,尤其是涉及具有由铜或铜合金制成的互连、互连连接栓塞、焊盘区等的半导体器件的制造方法。
背景技术
近来,铜和铜合金已经广泛用来做互连和连接栓塞的材料,以使元件在更高速下工作。利用这些金属,通常通过镶嵌法形成互连等。
图5是说明形成铜互连的传统的方法步骤系列图。下面描述此方法。首先,如图5(a)所示,在半导体衬底(图中未示出)上依次形成绝缘膜10和层间绝缘膜12之后,在层间绝缘膜12中设置互连沟槽,在其上依次形成阻挡金属膜14和籽晶铜膜15,阻挡金属膜14由Ta、TaN等制成,然后通过镀敷形成铜膜16。
对此状态中的半导体晶片1进行化学机械抛光(CMP)并且除去位于互连沟槽外侧的铜,而留下位于沟槽内侧的铜,从而形成铜互连17。在此,在铜互连17上制备氧化铜21,进行羧酸清洗(图5(b)),用于除去此氧化铜21。以这种方式,可以消除会引起互连电阻或接触电阻升高的氧化铜。此后,如图5(d)所示,形成氮化硅膜18,然后在其上形成层间绝缘膜19。
在这种形成铜互连的步骤中,除去形成在铜表面上的氧化铜是主要的,以便防止电阻增加。在上述方法中,用羧酸除去氧化铜的同时,已知的还有其它方法,例如利用还原气体的等离子处理方法。例如,J.Noguchi et al在“TDDB Improvement in Cu Metalization under BiasStress(对在偏压下的铜金属化中的TDDB改进)”(IEEE第38届年会,International Reliability Physics Symposium(国际可靠性物理研讨会,san Jose,California,2000,第339-343页)所描述的方法中,用氢或氨气进行等离子处理,以实现将形成在铜互连表面上的CuO还原为铜,与此同时在其上形成Cu层。此外,这里所描述的是一旦形成CuN,就可以起保护膜的作用,当在其上生长SiN之类的铜扩散防止膜时,CuN层可以抑制在铜互连中形成硅化铜层,因此可以抑制电阻的增加。
然而,上面描述的传统技术具有下列问题。
在包括用羧酸除去氧化铜膜步骤的方法中,在进行清洗除去氧化铜膜之后,从清洗设备取出晶片,并转移到生长膜的步骤。在转移的过程中,会将晶片暴露于空气中,以致会使铜表面再氧化,导致出现电阻增加,以及铜互连和其上所形成的铜扩散防止膜之间的粘附降低的问题。
同时,用还原等离子处理法可以在一定程度上控制电阻的增加,但此方法带来另外的问题,即互连寿命降低。实际上,本发明人通过实验首先确定,由于电迁移等,还原等离子处理会减低互连寿命,导致电阻的变化增大。为了通过等离子处理彻底除去氧化铜膜,需要采用相当严酷的条件进行等离子处理,结果,铜表面变得粗糙。此外,由于氮化形成CuN从仍然部分留在铜表面上的氧化铜开始,CuN的膜厚变得不均匀,这样,待形成在铜互连中的硅化铜层的膜厚也变得不均匀。推测这样会引起互连寿命的降低和电阻变化。
此外,在利用还原等离处理的方法中,由于底层表面的不均匀,存在铜扩散防止膜的膜厚变得不均匀的情况。这就需要在后面的孔蚀刻以形成互连连接栓塞的步骤中,进一步进行过腐蚀以便除去铜扩散防止膜,使得通过等离子暴露导致铜互连表面的劣化。
发明内容
鉴于上述问题,本发明的目的是提供一种半导体器件的制造方法,可以提高互连寿命,改进铜互连电阻的变化,同时控制其电阻的增加,另外,可以提高制造稳定性。
本发明提供了一种半导体器件的制造方法;包括以下各步骤:
在半导体衬底上形成含铜膜;
用清洗剂除去所述含铜膜表面上的氧化铜;
对已经从其上除去氧化铜的所述含铜膜表面进行氮化处理;和
在已经进行了所述氮化处理的所述含铜膜上,形成包括硅的铜扩散防止膜。
此外,本发明提供了一种半导体器件的制造方法,包括以下各步骤:
在半导体衬底上形成含铜膜;
除去在所述含铜膜的表面上的氧化铜;
使用含抗蚀剂的溶液,对含铜膜的表面进行抗蚀处理;
进行热处理,以除去粘附于含铜膜表面的抗蚀剂,接着,对所述含铜膜表面进行氮化处理;和
在已经进行了所述氮化处理的所述含铜膜上,形成包括硅的铜扩散防止膜。
此外,本发明提供了一种半导体器件的制造方法,包括以下各步骤:
在半导体衬底上形成含铜膜;在不使半导体衬底暴露于含氧气氛中的情况下,对所述含铜膜的表面进行氮化处理;和
在所述已经氮化处理的含铜膜上形成包括硅的铜扩散防止膜。
在上述制造方法中,在用清洗剂除去含铜膜表面上的氧化铜之后,对含铜膜的表面进行氮化处理。或在不使半导体衬底暴露于含氧气氛中的情况下,对含铜膜的表面进行氮化处理。在本说明书的背景技术部分所介绍的方法中,其中用还原气体通过等离子处理除去氧化铜膜,需要在多少有点严酷的条件下进行等离子处理。例如,为了除去氧化铜,需要等离子气氛具有高的还原能力。这就使得含铜膜的表面粗糙,引起互连电阻和接触电阻增加。与此相反,本发明的等离子处理可以在适度的条件下进行,因为此处理的目的不是除去氧化铜。
此外,在前述传统技术中,即使在具有用高还原能力的等离子气氛中进行处理,氧化铜膜也难免会留下一部分。为了防止这类情况的发生,在本发明中,由于对没有留下氧化铜膜的清洁的铜表面进行氮化处理,因此可以使通过氮化处理形成的CuN膜的膜厚和质量均匀,这样,将在铜互连中形成的硅化铜层的厚度变得均匀。结果,可以得到下列效果。
第一,可以抑制由含铜膜表面的氧化带来的电阻增加。在前面提到的制造方法中,均匀地在含铜膜上形成厚度一致的、由CuN制成的保护膜,使得没有形成氧化铜的清洁的含铜膜表面直接被保护膜覆盖。这样防止了铜受后续步骤的影响氧化,并且防止了电阻增加。
第二,延长了互连寿命。在前面提到的制造方法中,对含铜膜表面进行氮化处理的同时,形成了CuN,一旦形成了包括硅的铜扩散防止膜,此CuN抑制了硅扩散到含铜膜中。然而,CuN不能完全阻止硅扩散,少量的硅穿过CuN到达含铜膜的内部,在含铜膜的表面附近形成薄的硅化铜层。如上所述,均匀地形成了厚度一致的、由CuN制成的保护膜,使得形成的硅化物层是薄层、均匀并且具有相同的厚度。认为这种硅化物层的形成是延长互连寿命的原因。参考图5,在背景所描述的方法中,这种硅化物层还可以通过形成氮化硅膜18的步骤来形成。然而,在这种情况下,由于在没有形成氮化铜层的情况下淀积氮化硅,作为氮化硅的真正的构成材料的硅过量地扩散到铜互连中,形成厚的硅化物层,引起互连电阻和接触电阻增加的问题。同时,在前面提到的利用还原等离子处理的方法中,认为硅化物层自身的形成受到抑制。与这些传统技术不同,在本发明中,由于形成了能够适当抑制硅扩散的CuN层,可以均匀地形成硅化物层,并且薄膜厚度均匀。这样就能同时实现两个目的,即提高互连寿命和降低电阻。
第三,由于可以均匀地形成铜扩散防止膜,并且可以很好地控制膜厚,因此可以防止在后续的步骤中含铜膜出现劣化。例如,当将本发明应用于形成铜互连的方法时,在形成了由含铜膜制成的铜互连之后,将在其上形成互连连接栓塞。在孔蚀刻步骤中,需要除去铜扩散防止膜,露出铜互连。为了确实除去位于多个孔中的铜扩散防止膜,进行一定量的过腐蚀是必要的。然而,在本发明中,由于可以均匀地形成铜扩散防止膜,并且可以很好地控制其膜厚,因此可以使铜扩散防止膜的膜厚比传统的薄,结果可以很好地减少过腐蚀量。因此,可以减少抗蚀剂模的更替,提高制造的结构的线宽精度。此外,可以减小抗蚀剂的厚度,以便可以进行更细微的加工。另外,可以降低蚀刻后产生的淀积量,而且可以减小由于过腐蚀而导致的底层铜互连的刮削和毁坏。此外,由于可以将铜扩散防止膜的膜厚设薄,可以减小水平相邻的互连之间和沿着衬底厚度方向的互连内的静电容量。结果,可以抑制互连之间的相互干扰。
在前面提到的半导体器件的制造方法中,含铜膜表面的氮化处理会受到利用包括氮元素的源气的等离子处理的的影响。此外,在除去氧化铜之后的步骤以及对含铜膜表面进行氮化处理的步骤之前,可以进行用含抗蚀剂的溶液对含铜膜的表面进行抗蚀处理的步骤。此外,对含铜膜的表面进行抗蚀处理的步骤之后,可以进行热处理,以除去粘附于含铜膜表面上的抗蚀剂的步骤,以及接着的对含铜膜表面进行氮化处理的步骤。在此,如果前述的热处理是在真空中进行的,此后保持真空不变,进行对含铜膜表面的氮化处理步骤,那么可以对处于清洁状态的含铜膜的表面进行氮化处理,可以有利地使氮化铜层和硅化铜层的膜厚和质量更均匀。
如上所述,本发明可以使通过氮化处理形成的CuN的膜厚和膜的质量均匀,因此,可以在铜互连中形成均匀厚度的硅化铜层。结果,本发明可以提高互连寿命,同时防止含铜膜的电阻增加。此外,由于本发明可以均匀地形成铜扩散防止膜,很好地控制膜厚,能够防止在后续步骤中出现含铜膜的劣化。
附图说明
参考附图,本发明的上述和其它目的将变得更加明了。
图1是显示根据本发明的半导体器件的制造方法步骤系列的截面示意图。
图2是显示根据本发明的半导体器件的制造方法步骤系列的截面示意图。
图3是显示根据本发明的半导体器件的制造方法步骤系列的截面示意图。
图4是显示根据本发明的半导体器件的制造方法步骤系列的截面示意图。
图5是显示传统的半导体器件的制造方法的步骤系列的截面示意图。
图6是说明例子中评估互连电阻和互连寿命的方法的一对图。
图7是显示例子中互连电阻的评估结果图。
图8是显示例子中互连寿命的评估结果图。
图9是显示在参考情况下硅化物占有比的评估结果图。
在附图中参考标号所指示的对象如下:
1   硅晶片           10  绝缘膜          12  层间绝缘膜
14  阻挡金属膜       15  籽晶铜膜        16  铜膜
17  铜互连           18  氮化硅膜        19  层间绝缘膜
21  氧化铜           22  抗蚀剂          24  氮化铜层
25  硅化铜层         27  层间连接栓塞
具体实施方式
用于本发明的含铜膜或者是铜膜或者是铜合金膜,铜合金膜包含至少80wt%的铜,最好至少包含90wt%的铜。作为其它成份,铜合金包含不同的元素,例如Mg、Se、Zr、Hf、Nb、Ta、Cr、Mo等。
用于本发明的铜扩散防止膜是包括硅的膜,设定此膜来防止铜扩散到层间绝缘膜中,并且此膜由例如SiN、SiON、SiC、SiCOH等制成。
在本发明中,最好使用包含任何羧酸化合物的溶液、尤其是含水溶液作为清洗剂。这里所用的羧酸化合物包含羧酸和它们的盐。最好采用选自草酸、柠檬酸、苹果酸、马来酸、琥珀酸、酒石酸、丙二酸和它们的盐中的一种或多种化合物。在这些化合物中,由于其除去氧化铜的效果,特别优选使用草酸。一旦通过Cu-CMP生成了氧化铜,草酸能够有效地与氧化铜形成螯合物。同时,构成互连的Cu膜中的铜原子通过金属键合保持在一起,以便它们难以和草酸形成螯合物,省去了蚀刻。另外,由于可以构成阻挡膜的金属例如TiN、Ta、TaN和TaSiN也难以与草酸形成螯合物,也省得蚀刻它们了。因此,通过使用草酸,可以在不蚀刻含铜膜或阻挡金属膜的情况下,有选择地除去残留在表面的膜形式的CuOx和Cu粒子。相对于清洗剂的总量,羧酸的总含量优选设定为0.005-10wt%,0.01-1wt%更好。
用于本发明的清洗剂可以包含螯合剂。作为螯合剂,最好可以使用聚氨基羧酸(polyaminocarboxylic acid)化合物或氟化铵。在聚氨基羧酸化合物中,最好是如倚二胺四乙酸(EDTA)、反1,2-环己二胺四乙酸(CyDTA)、氨三乙酸(NTA)、二亚乙基三胺五乙酸(DTPA)、N-(2-羧乙基)乙二胺-N,N’,N’-三乙酸(EDTA-OH)及其它们的盐。如果将采用盐,最好是不会对半导体器件的特性产生不利影响的盐,尤其是不包含金属的盐例如铵盐最好。螯合剂的含量相对于整个清洗剂来说设定为1-10,000ppm较好,10-1,000更好。当此浓度太低时,得不到充分螯合的效果。另一方面,如果浓度过高,有机材料会留在衬底表面上,导致半导体元件的性能劣化,增高用于废液处理的费用。
用于本发明的清洗剂可以包含阴离子基或阳离子基的表面活性剂。阴离子基的表面活性剂的例子包含羧酸型的、硫酸型的和硫酸酯型的,换句话说,具有-COOH基、-SO3H基和-OSO3H基的酸和它们的盐。如果使用盐,最好是铵盐或一级、二级或三级胺盐,对半导体器件的质量几乎没有不利的影响,这也正是清洗的目的。作为阴离子基表面活性剂的具体例子,可以是例如C12H25O(CH2CH2O)2SO3H、C9H19PhO(CH2CH2O)4SO3H、C12H25O(CH2CH2O)4SO3H(Ph是亚苯基)和它们的铵盐以及它们的一级、二级和三级胺盐。在上述表面活性剂中,最好是硫酸酯的铵盐和它们的一级、二级和三级胺盐,对粘附于金属区表面的金属沾污具有很强的去除效果。阳离子基表面活性剂的例子,可以是C8H17N(CH3)3Br、C12H25N(C2H5)(CH3)2Br等。
在本发明中,根据表面活性剂的类型,适当确定待使用的阴离子基或阳离子基表面活性剂的量,但对于衬底来说,相对于清洗剂按照重量计,设定为1-1,0001ppm较好,10-500ppm更好。但如果添加量太小,则不能得到充分的清洗效果。相反,量过大,则废液处理变得更加困难。
在本发明中,对于抗蚀剂来说,可以使用苯并三唑(下文称为BTA)及其衍生物、尿酸及其衍生物等。通过任意使用这些抗蚀剂,可以得到对金属例如铜的优异的抗蚀效果。
作为苯并三唑衍生物,最好使用市场上由Ciba SpecialityChemicals生产的任何IRGAMET系列,尤其是IRGAMET42。IRGAMET42是2,2’-[[(甲基-1H-苯并三唑-1-基)甲基]亚氨基]双-乙醇。
尿酸衍生物的例子包含嘌呤;嘌呤衍生物例如6-氨基嘌呤、2-氨基-氧代嘌呤、6-糠基氨基嘌呤、2,6-(1H,3H)-黄嘌呤、2-氨基-6-羟基-8-巯基嘌呤、别嘌呤醇、尿酸、激动素、玉米素、鸟嘌呤、黄嘌呤、次黄嘌呤、腺嘌呤、茶碱、咖啡碱和可可碱;8-氮鸟嘌呤;8-氮鸟嘌呤的衍生物;蝶啶;蝶啶的衍生物例如2-氨基-4,6-二羟基蝶啶、2-氨基-4,7-二羟基蝶啶和2-氨基-4,6,7-三羟基蝶啶;蝶呤;蝶呤的衍生物;氰尿酸;氰尿酸的衍生物例如三羧甲基氰尿酸和三羧乙基氰尿酸;异氰脲酸;异氰脲酸的衍生物例如三羧甲基异氰脲酸和三羧乙基异氰脲酸;乙内酰脲;乙内酰脲的衍生物例如二甲基乙内酰脲;尿囊素(5-脲基乙内酰脲);尿囊素的衍生物;丙二酰脲;丙二酰脲的衍生物;烟酸;烟酸的衍生物例如异烟酸和柠嗪酸。可以独立地使用这些物质中的每一种,或者同时使用两种或更多种。
在上述物质中,最好使用嘌呤、嘌呤的衍生物、氰尿酸、氰尿酸的衍生物、异氰脲酸和异氰脲酸的衍生物、烟酸和烟酸的衍生物,这是因为它们在对金属例如铜显示了优异的抗蚀效果。
在本发明中,抗蚀剂最好使用含水的溶液,待要混合到水溶液中的抗蚀剂的最小量设定为0.0001wt%,设定为0.001wt%更好。采用这种混合量,可以基本上实现抗蚀保护。混合到其内的抗蚀剂的最大量没有特别的限制,可以根据水溶液中的溶解度适当设定。对于BTA及其衍生物来说,待要混合的最大量设定为大约1wt%比较好,对于尿酸衍生物来说,设定的最大量例如大约为20wt%,大约10wt%比较好。而且,如果需要,可以将由胺化合物制成的抗蚀剂沉淀抑制剂加入到抗蚀剂中。
在本发明中,最好在抗蚀处理步骤之后,进行热处理步骤,其中通过热处理将粘附于含铜膜表面上的抗蚀剂除去,接着进行氮化处理步骤。此外,前述热处理步骤最好在真空中进行,此后保持真空不变,进行氮化处理步骤。由于这样的设置能够对仍处于清洁状态的铜表面进行氮化处理,可以使氮化铜层和硅化铜层的膜厚和质量均匀,这样,可以延长互连寿命,同时降低互连的接触电阻。
然后,参考附图,下面将描述根据本发明的半导体器件的制造方法的一个例子。
首先,如图1(a)所示,在硅晶片上,依次形成绝缘膜10和层间绝缘膜12,然后,通过干蚀形成构图为预定形状的多个互连沟槽。对于层间绝缘膜12的材料,除了氧化硅,可以使用低介电常数的材料,例如聚有机硅氧烷,诸如MSQ(甲基倍半硅氧烷)和MHSQ(甲基化的氢倍半硅氧烷),和含有芳香族的有机材料,诸如聚烯丙醚(PAE)和二乙烯基硅氧烷-二苯并环丁烷(BCB)。
然后,在整个表面上通过溅射法生长阻挡金属膜14之后,通过溅射法形成籽晶铜膜15,然后通过镀覆法形成铜膜16。对于阻挡金属膜14的材料,可以使用诸如Ta、TaN、W、WN、Ti和TiN一类的金属材料。尽管可以使用铜合金,但是在本实施例中是采用铜作为互连材料。
接着,通过CMP法抛光晶片的表面,以便可以形成铜互连,如图1(b)所示。受包含在CMP浆料中的氧化剂的影响,可以氧化铜互连17的表面,形成氧化铜21。于是,进行清洗以除去此氧化铜。对于清洗剂,最好使用包含羧酸诸如草酸、马来酸、琥珀酸或醋酸的溶液。
清洗之后,除去了碳氧化物,露出铜互连17的清洁的表面(图1(c)。然后,用抗蚀剂进行处理,以便抗蚀剂22粘附于铜互连17的表面(图2(a)。作为抗蚀剂22的例子,如上所述,可以是BTA及其衍生物和尿酸及其衍生物。通过使抗蚀剂22粘附其上,即使晶片暴露于空气或在空气中放几天,也可以很好地防止铜氧化。
然后,通过加热晶片,使抗蚀剂汽化(图2(b))。例如,当使用BTA作为抗蚀剂时,通过在等于或高于200℃温度下的热处理,几乎可以完全除去BTA。用于除去抗蚀剂的热处理在200-500℃的温度下进行较好,在300-450℃的温度下进行更好。这种热处理可以在对元件没有负面影响的情况下有效地从其上除去抗蚀剂。
在此阶段,在不存在氧化铜和抗蚀剂的情况下,使铜互连17的表面处于清洁状态。在这种状态,对铜表面进行氮化处理。在本实施例中,利用包含氮和氨的源气进行等离子处理。利用此等离子处理,在铜互连17的表面上形成了氮化铜层24(图2(c))。用于等离子处理的条件的例子如下:
氨流量       50-5,000sccm
氮流量       0-5,000sccm
(氨对氮的流量比最好设为0.01-1.0)
压力         1-10乇
高频功率     100-1,000W,最好100-500W
衬底温度     300-450℃,最好350-400℃
处理时间     1秒-10分钟
在此等离子处理中,使用包括氮元素的源气较好,而使用还包括氢元素以提供还原性的气体则更好。以这样方式,可以在不损坏含铜膜表面的情况下形成高质量的氮化铜层。作为源气,最好使用氮和氢的混合气体、氨和氮的混合气体和将其它元素适当添加到上述混合气体中任一种中的混合气体。在这些源气中,氨和氮的混合气体尤其好,因为可以在很好地控制下由此形成高质量地氮化铜层。氨相对于整个混合气体的含量之比(体积比)最好设定为1-50%。这样的设定可以防止铜表面的劣化,同时,便于形成高质量的氮化铜层。
然后,在铜互连17上,形成氮化硅膜18作为铜扩散防止膜。氮化硅膜18可以通过等离子CVD(化学汽相淀积)法形成。例如,膜生长的条件可以设定如下:
SiH4流量         50-2,000sccm,最好50-300sccm
氨流量            10-2,000sccm
(氨对氮的流量比最好设定为0.01-0.7)
压力              1-10乇
高频功率          100-1,000W,最好100-500W
衬底温度          300-450℃,最好350-400℃
在膜生长的步骤中,通过氮化硅层24,将硅扩散到铜互连17中,形成硅化铜层25(图3(a))。氮化铜层的存在可以抑制硅从氮化硅膜扩散到铜互连17中,因此,与没有设置氮化铜的情况相比,硅化铜的膜厚变得更薄。此外,如上所述,由于在除去了铜互连17的表面上的氧化铜的状态下进行等离子处理,可以均匀地形成氮化铜层24,并具有均匀的厚度。因此,能够抑制互连电阻和接触电阻的增加,同时,达到了互连寿命的改进。
上述等离子处理和膜生长都是利用平行板型等离子发生装置来进行的。在此等离子发生设备中,进行从热处理以除去抗蚀剂的步骤到形成氮化硅膜的步骤。由于在这些步骤过程中一直保持真空状态,可以防止铜表面出现氧化,并且可以进行氮化处理,同时保持其清洁的表面。
然后,在由氧化硅形成层间绝缘膜19之后(图3(b)),利用干蚀形成通孔。首先,如图4(a)所示,蚀刻层间绝缘膜19,然后,如图4(b)所示,蚀刻氮化硅膜18,以便在孔的底部露出铜互连17。为了确实露出铜互连17,通常需要一定的过腐蚀。在本实施例中,如上所述,由于均匀地形成了均匀厚度的氮化铜层24,因此氮化硅膜18也可以在控制下很好地形成均匀的厚度。因此,可以将过腐蚀时间缩减至最短。
此后,通过用金属例如铜或钨填充开孔的内部,形成层间连接栓塞27,这样,形成多层互连结构(图4(c))。
对采用下述一系列步骤的方法的例子进行了上述描述,其中在形成铜互连17之后,通过清洗剂除去氧化铜,然后,在进行抗蚀处理之后,进行氮化处理。然而,在本发明的制造方法中也可以不包含清洗步骤或抗蚀处理步骤。在这种情况下,在生长含铜膜之后,在不将其暴露于含氧气氛的情况下,对含铜膜的表面进行氮化处理。例如,可以采用一种制造方法,其中在生长铜膜后,借助于蚀刻等构图之后,进行氮化处理,同时保持它的状态,甚至不从等离子室中取出。这就使得在表面上基本上没有形成氧化铜的状态下对表面进行氮化处理,以便可以均匀地形成硅化铜层,具有薄的均匀厚度,能够既得到降低的电阻,同时又可提高互连寿命。
例1
利用根据本发明的半导体器件的制造方法,形成了铜互连并评估了它们的互连寿命和电阻。下面描述了铜互连的制造方法。首先,通过等离子CVD法,在硅晶片上形成了氧化硅膜。然后,通过干蚀,形成构图为预定形状的多个互连沟槽。然后,由Ta通过溅射法形成阻挡金属层,依次形成籽晶铜膜和镀覆的铜膜。
接着,通过CMP法抛光晶片表面,以在互连沟槽的内部留下铜,从而形成铜互连。然后,为了除去粘附到半导体晶片表面上的如抛光屑、金属和浆料的抛光磨粒、粒子,进行下列清洗步骤:
首先,进行擦洗。即,通过移动旋转刷同时将由电解的离子化水制成的清洗剂喷射到刷上,除去粒子沾污。然后,进行自旋清洗。在此步骤中,在旋转半导体晶片的同时,将由含有0.03wt%草酸的水溶液制成的清洗剂喷射到其上10秒,以除去氧化铜,然后用纯水进行漂洗。
然后进行抗蚀处理。这里所用的抗蚀处理剂具有下列成份:
苯并三唑       0.1wt%
水             余量
当旋转半导体晶片时,将抗蚀处理剂以1升/分钟的流量喷射到晶片表面上10秒,从而对Cu膜进行抗蚀处理。此后,进行自旋漂洗/干燥步骤,其中用纯水漂洗15秒之后,进行干燥。
接着,利用氨和氮的混合气体进行等离子处理,将铜互连的表面氮化。此后,利用由SiH4、氨和氮构成的源气,通过等离子CVD法,在铜互连上形成厚50nm的氮化硅膜。此后,在其上形成层间绝缘膜,设置栓塞以便与铜互连接触,从而完成用于评估的铜互连。
用于比较的例1
用与例1一样的方式形成铜互连,只是在草酸处理之后,不进行BTA处理,仅进行氨等离子处理,此后形成氮化硅膜。
用于比较的例2
用与例1一样的方式形成铜互连,只是在草酸处理之后,不进行氨等离子处理,仅进行BTA处理,此后形成氮化硅膜。
按例1和用于比较的例1和例2的方法所制造的铜互连具有图6(a)和(b)所示的平面结构。为这些铜互连评估互连电阻和互连寿命。关于互连电阻,通过电阻(E1/I1)的值进行评估,电阻(E1/I1)的值是通过如下方式得到的:使电流(I1)流过图6(a)的用于测量的端子P3-P4之间,同时从0A增加到1mA,测量在测量元件的两个端P1-P2之间产生的电位差(E1)。关于互连寿命,是通过如下方式来评估的:当在给定的环境下(给定的温度等),保持所给的电流(I2)在0.01mA-10mA的范围内流过图6(b)所示的用于测量的端子P5-P6之间,测量P7-P8之间产生的点位差(E2),由于劣化,通过测量电阻值(E2/I2)漂移到3%的时间来评估。
结果示于图7和8。在这两个曲线图中,取例1的值为1,用于比较的例子的值分别用减小的值表示。对于图7中的互连电阻,其值越低越好。对于图8中的互连寿命,其值越高越好。用于比较的例1具有优异的互连电阻,但它们在元件中的变化显著,除此之外互连寿命短。同时,用于比较的例2具有长的互连寿命,但具有显著变化的大的互连电阻。相反,很显然例1的互连既具有优异的寿命又具有优异的互连电阻,除此之外,元件中互连电阻的变化也小。
参考例1
在硅晶片上,形成氧化硅膜,在其整个表面上形成铜镀覆膜。接着,在通过CMP法抛光铜表面之后,为了除去如抛光屑、金属和浆料的抛光磨粒、粒子,进行下面的清洗步骤。
首先,进行擦洗。即,通过移动旋转刷,同时将由电解的离子化水制成的清洗剂喷射到刷上,除去粒子沾污。然后,进行自旋清洗。在此步骤中,在旋转半导体晶片的同时,将由含有0.03wt%草酸的水溶液制成的清洗剂喷射到其上10秒,以除去位于表面上的CuO金属沾污,然后用纯水进行漂洗。
然后,进行抗蚀处理步骤。这里所有的抗蚀处理剂具有下列成份:
苯并三唑         0.1wt%
水               余量
当旋转半导体晶片时,将抗蚀处理剂以1升/分钟的流量喷射到晶片表面上10秒,从而对Cu膜进行抗蚀处理。此状态的晶片用样品1表示。
在下列条件下进一步对样品1进行等离子处理,铜膜表面被氮化,表示为例2:
流动气体          氨和氮
总流量            5,000sccm
氨对氮的流量比为  1-50
压力              5乇
高频功率          200W
衬底温度          400℃
处理时间          不施加高频功率的状态20秒,
                  施加高频功率的状态5秒
作为通过等离子CVD法形成氮化硅膜的步骤的初始阶段,对如上所述得到的样品1和样品2进行处理。即,在400℃的气氛中将SiH4加到上面的流动气体中并保持5秒,压力设为5乇,高频功率设为200W。
完成上述处理之后,观察各个样品的形成在铜膜表面上的硅化物的占有比。在上述处理之后,通过用氨和过氧化氢的混合溶液对铜膜湿蚀进行观察。当铜和氧化铜溶解在上面的混合溶液中时,硅化铜是不溶解的。因此,不溶解的部分代表硅化铜。当利用SIMS(二次离子质谱分析)对非溶解部分的元素进行分析时,实际上检测了铜和硅。基于这种观察结果,把在蚀刻中未溶解的硅化铜面积相对于原始存在的铜膜的整个面积的占有比定义为硅化物占有比。试验结果示于图9。很显然,用氨等离子处理氮化了铜表面的样品2很好地抑制了硅化物的形成。这些试验结果证实,铜表面的氮化抑制了硅化铜的形成。

Claims (8)

1.一种半导体器件的制造方法,包括以下各步骤:
在半导体衬底上形成含铜膜;
用清洗剂除去所述含铜膜表面上的氧化铜;
对已经从其上除去了氧化铜的所述含铜膜表面进行氮化处理;和
在已经进行了所述氮化处理的所述含铜膜上形成包括硅的铜扩散防止膜。
2.根据权利要求1的半导体器件的制造方法,其特征在于,所述氮化处理是在其上形成有含铜膜并且从含铜膜上已经除去了氧化铜的半导体衬底不暴露于含氧气氛的情况下进行的。
3.根据权利要求1的半导体器件的制造方法,其特征在于,使用包括氮元素的源气,通过等离子处理,实施对所述含铜膜表面的氮化处理。
4.一种半导体器件的制造方法,包括步骤:
在半导体衬底上形成含铜膜;
除去在所述含铜膜的表面上的氧化铜;
使用含抗蚀剂的溶液,对含铜膜的表面进行抗蚀处理;
进行热处理以除去粘附于含铜膜表面的抗蚀剂,接着,对所述含铜膜表面进行氮化处理;和
在已经进行了所述氮化处理的所述含铜膜上形成包括硅的铜扩散防止膜。
5.根据权利要求4的半导体器件的制造方法,其特征在于,在真空中进行所述热处理步骤,此后,保持真空状态不变,对所述含铜膜表面进行氮化处理的步骤。
6.根据权利要求4的半导体器件的制造方法,其特征在于,使用包括氮元素的源气,通过等离子处理,对所述含铜膜表面进行氮化处理。
7.一种半导体器件的制造方法,包括步骤:
在半导体衬底上形成含铜膜;
在不使半导体衬底暴露于含氧气氛中的情况下,对所述含铜膜的表面进行氮化处理;和
在已经进行了所述氮化处理的所述含铜膜上形成包括硅的铜扩散防止膜。
8.根据权利要求7的半导体器件的制造方法,其特征在于,使用包括氮元素的源气,通过等离子处理,对所述含铜膜表面进行氮化处理。
CNB02105097XA 2001-02-21 2002-02-21 半导体器件的制造方法 Expired - Fee Related CN1258814C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001044949A JP4535629B2 (ja) 2001-02-21 2001-02-21 半導体装置の製造方法
JP044949/2001 2001-02-21

Publications (2)

Publication Number Publication Date
CN1372313A CN1372313A (zh) 2002-10-02
CN1258814C true CN1258814C (zh) 2006-06-07

Family

ID=18906844

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02105097XA Expired - Fee Related CN1258814C (zh) 2001-02-21 2002-02-21 半导体器件的制造方法

Country Status (6)

Country Link
US (2) US6787480B2 (zh)
JP (1) JP4535629B2 (zh)
KR (1) KR20020068470A (zh)
CN (1) CN1258814C (zh)
GB (1) GB2375888A (zh)
TW (1) TW522520B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101211818B (zh) * 2006-12-26 2010-04-07 中芯国际集成电路制造(上海)有限公司 半导体集成电路的互连结构填隙铜镀的方法与结构

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
SG125881A1 (en) * 1999-12-03 2006-10-30 Lytle Steven Alan Define via in dual damascene process
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101005434B1 (ko) * 2002-04-26 2011-01-05 에이저 시스템즈 인크 신뢰성 개선을 위한 규화 구리 패시베이션
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
JP2003347299A (ja) * 2002-05-24 2003-12-05 Renesas Technology Corp 半導体集積回路装置の製造方法
JP4087172B2 (ja) * 2002-07-11 2008-05-21 セイコーインスツル株式会社 半導体装置の製造方法
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
JP4209212B2 (ja) * 2003-01-30 2009-01-14 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4499365B2 (ja) * 2003-02-21 2010-07-07 スピードファム株式会社 半導体処理方法
CN1802744A (zh) * 2003-04-09 2006-07-12 库利克-索法投资公司 集成电路的电交互连接结构及其制造方法
JP3694512B2 (ja) * 2003-04-18 2005-09-14 沖電気工業株式会社 半導体装置の製造方法
JP3722813B2 (ja) * 2003-07-08 2005-11-30 沖電気工業株式会社 埋め込み配線構造の形成方法
US7291568B2 (en) * 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
KR20050022292A (ko) * 2003-08-27 2005-03-07 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의 제조방법
US7056648B2 (en) * 2003-09-17 2006-06-06 International Business Machines Corporation Method for isotropic etching of copper
JP4041785B2 (ja) 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
JP4266901B2 (ja) 2003-09-30 2009-05-27 三洋電機株式会社 半導体装置およびその製造方法
US7309651B2 (en) * 2003-10-30 2007-12-18 Texas Instruments Incorporated Method for improving reliability of copper interconnects
CN1312745C (zh) * 2003-12-16 2007-04-25 上海华虹(集团)有限公司 一种去除铜籽晶表面氧化膜及增强铜层黏附力的前处理方法
JP2005183814A (ja) 2003-12-22 2005-07-07 Fujitsu Ltd 半導体装置の製造方法
JP2005268454A (ja) * 2004-03-17 2005-09-29 Nec Electronics Corp 半導体装置およびその製造方法
US20050208742A1 (en) * 2004-03-17 2005-09-22 International Business Machines Corporation Oxidized tantalum nitride as an improved hardmask in dual-damascene processing
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
JP2006005190A (ja) * 2004-06-18 2006-01-05 Renesas Technology Corp 半導体装置
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7727881B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7396759B1 (en) 2004-11-03 2008-07-08 Novellus Systems, Inc. Protection of Cu damascene interconnects by formation of a self-aligned buffer layer
US7704873B1 (en) 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
CN100472739C (zh) * 2004-11-08 2009-03-25 Tel艾派恩有限公司 铜互连布线和形成铜互连布线的方法
US7268073B2 (en) * 2004-11-10 2007-09-11 Texas Instruments Incorporated Post-polish treatment for inhibiting copper corrosion
JP4516447B2 (ja) 2005-02-24 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JPWO2006129549A1 (ja) * 2005-06-01 2008-12-25 日産化学工業株式会社 ホスホン酸及びアスコルビン酸を含む半導体用洗浄液組成物及び洗浄方法
JP4701017B2 (ja) * 2005-06-21 2011-06-15 パナソニック株式会社 半導体装置の製造方法及び半導体装置
DE102005035740A1 (de) * 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
US7657390B2 (en) * 2005-11-02 2010-02-02 Applied Materials, Inc. Reclaiming substrates having defects and contaminants
KR20070049278A (ko) * 2005-11-08 2007-05-11 삼성전자주식회사 배선, 이를 포함하는 박막 트랜지스터 기판과 그 제조 방법
DE102005057057B4 (de) * 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
JP2007180420A (ja) * 2005-12-28 2007-07-12 Fujitsu Ltd 半導体装置の製造方法及び磁気ヘッドの製造方法
JP2007208142A (ja) * 2006-02-03 2007-08-16 Sharp Corp 半導体装置の製造方法
US7557447B2 (en) 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
JP4810306B2 (ja) * 2006-05-16 2011-11-09 日本電気株式会社 銅ダマシン多層配線の形成方法
WO2008004579A1 (fr) * 2006-07-05 2008-01-10 Hitachi Chemical Co., Ltd. Liquide de polissage pour cmp et procédé de polissage
DE102006035644A1 (de) * 2006-07-31 2008-02-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Kontamination durch Vorsehen einer zu entfernenden Polymerschutzschicht während der Bearbeitung von Mikrostrukturen
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
JP4714659B2 (ja) * 2006-10-16 2011-06-29 パナソニック株式会社 半導体装置の製造方法
US7855143B2 (en) * 2006-12-22 2010-12-21 Chartered Semiconductor Manufacturing, Ltd. Interconnect capping layer and method of fabrication
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
WO2008107419A1 (en) * 2007-03-06 2008-09-12 Nxp B.V. Formation of a reliable diffusion-barrier cap on a cu-containing interconnect element having grains with different crystal orientations
KR100949250B1 (ko) * 2007-10-10 2010-03-25 제일모직주식회사 금속 cmp 슬러리 조성물 및 이를 이용한 연마 방법
KR100949248B1 (ko) * 2007-10-10 2010-03-26 제일모직주식회사 신규한 부식 방지제를 사용하는 금속 cmp 슬러리조성물 및 이를 이용한 연마 방법
EP2065927B1 (en) * 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US7858510B1 (en) 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
JP5380901B2 (ja) 2008-05-12 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5595644B2 (ja) * 2008-06-26 2014-09-24 スパンション エルエルシー 半導体装置及びその製造方法
JP5487473B2 (ja) * 2008-07-22 2014-05-07 国立大学法人東北大学 配線基板及びその製造方法
US8105937B2 (en) * 2008-08-13 2012-01-31 International Business Machines Corporation Conformal adhesion promoter liner for metal interconnects
JP5501586B2 (ja) * 2008-08-22 2014-05-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9074170B2 (en) 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
TWI503878B (zh) * 2008-11-07 2015-10-11 Uwiz Technology Co Ltd 化學機械平坦化後用之酸性清潔組成物
KR20100051211A (ko) 2008-11-07 2010-05-17 주식회사 동부하이텍 이미지 센서의 금속배선 형성방법
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5230542B2 (ja) * 2009-06-22 2013-07-10 パナソニック株式会社 半導体装置の製造方法
KR100937945B1 (ko) * 2009-08-05 2010-01-21 주식회사 아토 반도체 소자의 제조 방법
JP5909852B2 (ja) 2011-02-23 2016-04-27 ソニー株式会社 半導体装置の製造方法
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
US8431482B1 (en) * 2012-01-31 2013-04-30 GlobalFoundries, Inc. Integrated circuits and methods for processing integrated circuits with embedded features
US8859419B2 (en) 2013-02-01 2014-10-14 Globalfoundries Inc. Methods of forming copper-based nitride liner/passivation layers for conductive copper structures and the resulting device
US8753975B1 (en) 2013-02-01 2014-06-17 Globalfoundries Inc. Methods of forming conductive copper-based structures using a copper-based nitride seed layer without a barrier layer and the resulting device
CN104637862B (zh) * 2013-11-14 2019-10-18 盛美半导体设备(上海)有限公司 半导体结构形成方法
JP6405196B2 (ja) * 2013-12-18 2018-10-17 キヤノン株式会社 半導体装置の製造方法
JP6318744B2 (ja) * 2014-03-18 2018-05-09 東京エレクトロン株式会社 半導体装置の製造方法
KR102326028B1 (ko) * 2015-01-26 2021-11-16 삼성디스플레이 주식회사 반도체 및 디스플레이 제조공정용 세정제 조성물
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
JP7118589B2 (ja) * 2017-01-06 2022-08-16 株式会社東芝 電子部品の寿命予測装置及び電子部品の寿命予測方法
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
CN108054136A (zh) * 2017-11-16 2018-05-18 上海华力微电子有限公司 铜互连工艺方法
US11551931B2 (en) * 2017-12-07 2023-01-10 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium storing program for executing substrate processing method
FR3096830B1 (fr) * 2019-05-27 2021-06-18 St Microelectronics Crolles 2 Sas Elément d'interconnexion et son procédé de fabrication

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3306598B2 (ja) * 1992-05-12 2002-07-24 株式会社日立製作所 半導体装置の製造方法及び洗浄装置
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
JP3323055B2 (ja) * 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
EP0859407A3 (en) * 1997-02-13 1998-10-07 Texas Instruments Incorporated Method of fabrication of a copper containing structure in a semiconductor device
KR100593519B1 (ko) * 1997-04-22 2006-06-28 코닌클리즈케 디에스엠 엔.브이. 액상 경화성 수지 조성물
JPH11222600A (ja) * 1997-11-27 1999-08-17 Toshiba Corp 洗浄液および半導体装置の製造方法
JPH11214507A (ja) * 1998-01-21 1999-08-06 Nec Corp 半導体装置の配線構造およびその製造方法
US6174810B1 (en) * 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
JP3111979B2 (ja) * 1998-05-20 2000-11-27 日本電気株式会社 ウエハの洗浄方法
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
US6165894A (en) * 1998-07-09 2000-12-26 Advanced Micro Devices, Inc. Method of reliably capping copper interconnects
JP2000040679A (ja) * 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000058544A (ja) * 1998-08-04 2000-02-25 Matsushita Electron Corp 半導体装置及びその製造方法
US6150269A (en) * 1998-09-11 2000-11-21 Chartered Semiconductor Manufacturing Company, Ltd. Copper interconnect patterning
US6255217B1 (en) 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
JP3519632B2 (ja) * 1999-03-11 2004-04-19 株式会社東芝 半導体装置の製造方法
JP2000269209A (ja) * 1999-03-15 2000-09-29 Toshiba Corp 半導体装置の製造方法
JP3705724B2 (ja) * 1999-11-19 2005-10-12 Necエレクトロニクス株式会社 半導体装置の製造方法
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
KR100341482B1 (ko) * 1999-12-23 2002-06-21 윤종용 구리 배선층의 형성방법
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
JP3907151B2 (ja) * 2000-01-25 2007-04-18 株式会社東芝 半導体装置の製造方法
JP2001274245A (ja) * 2000-03-24 2001-10-05 Nec Corp 半導体装置及びその製造方法
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2002060769A (ja) 2000-08-23 2002-02-26 New Japan Chem Co Ltd 潤滑油
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101211818B (zh) * 2006-12-26 2010-04-07 中芯国际集成电路制造(上海)有限公司 半导体集成电路的互连结构填隙铜镀的方法与结构

Also Published As

Publication number Publication date
TW522520B (en) 2003-03-01
US20020155702A1 (en) 2002-10-24
CN1372313A (zh) 2002-10-02
JP2002246391A (ja) 2002-08-30
US20040266171A1 (en) 2004-12-30
US7268087B2 (en) 2007-09-11
JP4535629B2 (ja) 2010-09-01
KR20020068470A (ko) 2002-08-27
US6787480B2 (en) 2004-09-07
GB2375888A (en) 2002-11-27
GB0203784D0 (en) 2002-04-03

Similar Documents

Publication Publication Date Title
CN1258814C (zh) 半导体器件的制造方法
CN1181532C (zh) 制造半导体器件的方法
CN1267972C (zh) 半导体基板洗涤剂和洗涤方法
JP4554011B2 (ja) 半導体集積回路装置の製造方法
US8129275B2 (en) Process for manufacturing semiconductor integrated circuit device
US6723631B2 (en) Fabrication method of semiconductor integrated circuit device
CN100336179C (zh) 研磨液及研磨方法
CN1706925A (zh) 干蚀刻后的洗涤液组合物及半导体装置的制造方法
US20050227479A1 (en) Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP2003051481A (ja) 半導体集積回路装置の製造方法
US20050199264A1 (en) CMP cleaning composition with microbial inhibitor
CN1444276A (zh) 半导体器件及其制造方法
CN1247107A (zh) 基片清洗方法和基片清洗液
CN1575331A (zh) 清洗组合物
JP2000315666A (ja) 半導体集積回路装置の製造方法
EP2128897A1 (en) Silicon dielectric treating agent for use after etching, process for producing semiconductor device, and semiconductor device
US11359114B2 (en) Polishing method using CMP polishing liquid
CN1612336A (zh) 半导体装置及其制造方法
CN1885489A (zh) 半导体器件的制造方法
CN1786834A (zh) 剥离剂组合物
JP2008141204A (ja) 半導体集積回路装置の製造方法
US6767274B2 (en) Method to reduce defect/slurry residue for copper CMP
US20090233441A1 (en) Interconnections for integrated circuits
JP2007005840A (ja) 半導体集積回路装置の製造方法
JP3962409B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: NEC ELECTRONICS TAIWAN LTD.

Free format text: FORMER OWNER: NIPPON ELECTRIC CO., LTD.

Effective date: 20030403

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20030403

Address after: Kanagawa, Japan

Applicant after: NEC Corp.

Address before: Tokyo, Japan

Applicant before: NEC Corp.

C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: RENESAS ELECTRONICS CORPORATION

Free format text: FORMER NAME: NEC CORP.

CP01 Change in the name or title of a patent holder

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: NEC Corp.

CP02 Change in the address of a patent holder
CP02 Change in the address of a patent holder

Address after: Tokyo, Japan

Patentee after: Renesas Electronics Corporation

Address before: Kanagawa, Japan

Patentee before: Renesas Electronics Corporation

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060607

Termination date: 20180221