CN107438892A - 等离子处理方法以及等离子处理装置 - Google Patents

等离子处理方法以及等离子处理装置 Download PDF

Info

Publication number
CN107438892A
CN107438892A CN201780000763.8A CN201780000763A CN107438892A CN 107438892 A CN107438892 A CN 107438892A CN 201780000763 A CN201780000763 A CN 201780000763A CN 107438892 A CN107438892 A CN 107438892A
Authority
CN
China
Prior art keywords
gas
plasma
processing method
amorphous carbon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780000763.8A
Other languages
English (en)
Other versions
CN107438892B (zh
Inventor
寺仓聪志
森政士
荒濑高男
岩濑拓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi High Tech Corp
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to CN202010515418.1A priority Critical patent/CN111627807B/zh
Publication of CN107438892A publication Critical patent/CN107438892A/zh
Application granted granted Critical
Publication of CN107438892B publication Critical patent/CN107438892B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供等离子处理方法及其处理装置,在对使用了含硼的无定形碳膜的层叠膜进行蚀刻时,通过实现高选择比、高蚀刻速率而能够进行连贯加工,通过简化掩模成膜工序来实现包括前后工序在内的高处理量化,进而具有垂直加工的形状控制性。本发明是通过对具有含硼的无定形碳膜的层叠膜进行等离子蚀刻来形成掩模的等离子处理方法,其特征在于,使用氧气、含氟气体、卤素气体和四氟化硅气体的混合气体或者氧气、含氟气体、卤素气体和四氯化硅气体的混合气体来对所述含硼的无定形碳膜进行等离子蚀刻。

Description

等离子处理方法以及等离子处理装置
技术领域
本发明涉及使用与半导体制造相关的等离子来对样品进行处理的等离子处理方法及其装置。
背景技术
在具有三维结构的闪速存储器(3D-NAND等)的栅极制造工序中,伴随着器件的大容量化,如专利文献1公开的那样,多晶硅膜与硅氧化膜的配对层总数不断增加到48层、72层、96层、120层,等离子蚀刻加工的高纵横比化正在进展中。
过去以来,在对这些层叠膜进行蚀刻时,将图案形成后的光致抗蚀剂膜(PR)、防反射膜(Bottom Anti-Reflection Coat:BARC)和无定形碳膜(Amorphous Carbon Layer:ACL,以下称作ACL膜)的层叠膜作为蚀刻掩模来使用,但是伴随着高纵横比化,无定形碳膜的掩模的厚度不足逐渐明显化了。
因此,在ACL膜中掺杂(含有)硼元素(B),采用含有使耐等离子性得到提升的硼的B-Doped ACL膜。在对现有的ACL膜进行蚀刻时,以氧为主体,使用添加了COS、氮或者用氩、氦稀释了的等离子来将抗蚀剂的图案转印到ACL膜,但是很难用该气体系列对含有10到40%程度的硼的B-Doped ACL膜进行蚀刻。
为了解决该问题,在专利文献2中公开了通过使晶片台的温度成为100℃以上从而增加硼的反应生成物的挥发性来进行蚀刻的方式,并且在专利文献3中公开了如下方法:通过使用CH4、Cl2、SF6、O2的混合气体形成氟化硼来增加反应生成物挥发性,并且用Cl2、CH4来控制加工垂直性,由此在10~30℃的常温下进行蚀刻。
现有技术文献
专利文献
专利文献1:JP特开2015-144158号公报
专利文献2:JP特开2014-007370号公报
专利文献3:US2015/0064914 A1
发明内容
发明要解决的课题
在专利文献2公开的方法中,在对PR/BARC/SiON/B-doped ACL的掩模结构的样本进行蚀刻时,光致抗蚀剂膜由于高温而碳化,从而图案会受损。另外,由于在下层的硅/硅氧化膜的配对层的蚀刻时需要在50℃以下的低温侧进行蚀刻,因此不能在同一反应室进行从掩模到基底膜的蚀刻(所谓的连贯处理)。即使进行了连贯处理,也会出现温度等待时间长从而蚀刻处理的处理量降低这样的问题。
另外,在专利文献3公开的方法中,由于与现有的ACL膜的蚀刻时相比,对硅氮氧化膜选择比更小,因此在使用现有的ACL膜蚀刻时所需的30-40nm厚度的硅氮氧化膜的情况下,会出现如下问题:蚀刻不能到达下层的SiO2膜,或者在蚀刻推进中从硅氮氧化膜的开口部之时起产生逐渐后退的刻面(faceting)。
为了避免这些问题而不得不采用加厚硅氮氧化膜的方法,但是掩模成膜工序中的处理量会大幅降低,进而出现使CoO(Cost of Owership)大幅增加的问题。
进一步地,由于存在按照与上下层膜的组合来适当变更硼浓度或所使用的台温度的中心值的可能性,因此在进行B-Doped ACL膜的蚀刻时,需要具有能消除其他形状异常(侧蚀、弯曲(bowing)、蚀刻停止)的形状控制性而能够实现接近于垂直的蚀刻加工。
以上,本发明的目的在于,提供一种等离子处理方法及其处理装置,在对使用了含硼的无定形碳膜的层叠膜进行蚀刻时,通过实现高选择比、高蚀刻速率而能够进行连贯加工,通过简化掩模成膜工序来实现包括前后工序在内的高处理量化,进而具有垂直加工的形状控制性。
用于解决课题的手段
本发明的等离子处理方法通过对具有含硼的无定形碳膜的层叠膜进行等离子蚀刻来形成掩模,所述等离子处理方法的特征在于,使用氧气、含氟气体、卤素气体和四氟化硅气体的混合气体或者氧气、含氟气体、卤素气体和四氯化硅气体的混合气体来对所述含硼的无定形碳膜进行等离子蚀刻。
另外,本发明的等离子处理装置具备:对样品进行等离子处理的处理室;和供给用于在所述处理室内生成等离子的高频功率的高频电源,所述等离子处理装置的特征在于,还具备:将第一气体和向所述第一气体混合的气体即第二气体经由多个区域供给到所述处理室内的气体供给机构。
发明效果
根据本发明,能将无机膜作为掩模,以高蚀刻速率且以高选择比对含硼的无定形碳膜进行蚀刻。
附图说明
图1是本发明所涉及的平行平板型的有磁场VHF干式蚀刻装置的纵截面图。
图2是表示本发明的等离子处理方法的1个实施例中的蚀刻形状的图。
图3是表示形状异常的蚀刻截面的示意图。
图4是表示相对于本发明中的B-Doped ACL蚀刻速率以及掩模选择比的偏置功率依赖性的图。
图5是表示相对于本发明中的B-Doped ACL蚀刻速率以及掩模选择比的压力依赖性的图。
具体实施方式
以下,用图1到图5来说明本发明的实施例。图1是作为本发明的等离子处理装置的一例的平行平板型的有磁场VHF干式蚀刻装置的纵截面图。
在具有圆筒状的内部空间且作为处理室的真空容器101内的下部设置有在上表面载置作为样品的晶片102的样品台103。在样品台103经由第一匹配器104连接有偏置施加用的高频电源105以及晶片静电吸附用的直流电源106。另外,在样品台103连接有调温组件107。高频电源105在该情况下使用频率4MHz。
另外,在真空容器101内的下部设置排气口,省略了图示的排气装置与该排气口相连。在真空容器101内的上部,与样品台103的晶片载置面对置地设置平板状的天线108,并经由第二匹配器109连接等离子生成用的高频电源110。高频电源110在本实施例中使用频率200MHz的VHF波。另外,在真空容器1外部的上表面以及外周设置有在真空容器101内形成磁场的螺线管线圈111。螺线管线圈111在真空容器101的轴方向上形成朝向样品台103的发散磁场。
在与样品台103对置的天线108的下表面设置具有大量气体供给孔的喷淋板112,在天线108与喷淋板112之间形成内侧空间和包围内侧空间的外侧空间,气体供给孔与各个空间相连。在内侧空间设置内侧气体供给路113,在外侧空间设置外侧气体供给路114。在内侧气体供给路113混合连接主气体系统115和内侧添加气体系统116,在外侧气体供给路114混合连接主气体系统115和外侧添加气体系统117。
主气体系统115是由氧、含氟气体、卤素气体和惰性气体构成的混合气体即第一气体的气体系统,在本实施例中,含氟气体是CHF3,卤素气体是Cl2,惰性气体是N2。主气体系统115由如下要素构成:对气体源O2的流量进行控制的流量控制器A118;对气体源CHF3的流量进行控制的流量控制器B119;对气体源Cl2的流量进行控制的流量控制器C120;对气体源N2的流量进行控制的流量控制器D121a;分别与这些流量控制器118到121a连接的断流阀123;和供给经由各个断流阀123而混合的气体的气体分流器124。
内侧添加气体系统116以及外侧添加气体系统117是由含硅气体(四氯化硅气体或四氟化硅气体)和惰性气体构成的混合气体即第二气体的气体系统,在本实施例中,含硅气体是SiCl4,惰性气体是N2。内侧添加气体系统116由对气体源N2的流量进行控制的流量控制器D121b、对气体源SiCl4的流量进行控制的流量控制器E122a、和分别与这些流量控制器121b以及122a连接的断流阀123构成,供给经由各个断流阀123而混合的气体。外侧添加气体系统117由对气体源N2的流量进行控制的流量控制器D121c、对气体源SiCl4的流量进行控制的流量控制器E122b、和分别与这些流量控制器D121c以及122b连接的断流阀123构成,供给经由各个断流阀123而混合的气体。
被流量控制器118到121a将来自各气体源的气体流量调整成各设定流量的各气体由气体流量分流器115以给定的比率进行分流而流到内侧气体供给路113和外侧气体供给路114。被流量控制器121b以及122a将来自各气体源的气体流量调整成各设定流量的各气体与由气体流量分流器115分流向内侧气体供给路113的气体混合,被供给到喷淋板112的内侧空间,并经由气体供给孔供给到真空容器101的中央侧。
被流量控制器121c以及122b将来自各气体源的气体流量调整成各设定流量的各气体与由气体流量分流器115分流向外侧气体供给路114的气体混合,被供给到喷淋板112的外侧空间,并经由气体供给孔供给到包围真空容器101的中央部的外侧。
即,主气体由气体流量分流器124与喷淋板的被分离的内外区域相匹配地以给定的比率进行分割,使分别进行了流量调整的添加气体与以给定比率分割后的各主气体合流,供给到喷淋板的内外区域。由此,能得到在真空容器101内的喷淋板112下方半径方向上对各气体成分进行了任意调整的面内分布。
另外,在本实施例中,将喷淋板112内的气体存贮空间分离成内外的2个区域,但也可以是3个区域以上,另外也可以在圆周方向上分离多个,只要与分离的数目相匹配地设定气体流量分流器124的分割数,并设置同一气体种类的添加气体系统的数目即可。
另外,对于这样的气体区域分割的效果来说,喷淋板112与样品台103的距离越窄则越有效果。
利用上述那样构成的装置如下那样进行处理。
将由省略图示的搬运装置搬入到真空容器101内的晶片102配置在样品台103上,通过来自直流电源106的电压施加而将晶片102静电吸附在样品台103上。对被吸附、保持于样品台103的晶片102的背面供给作为导热气体的H2气体,与由调温组件107进行了温度调整的样品台103之间进行热传递,将晶片102维持在给定的处理温度。
在将晶片102保持于样品台103之后,在真空容器101内,从主气体系统115、内侧添加气体系统116以及外侧添加气体系统117对内侧气体供给路113以及外侧气体供给路114供给分别进行过流量控制的处理气体即O2、CHF3、Cl2、SiCl4、N2的混合气体。被供给的混合气体经由喷淋板112供给到真空容器内,在真空容器101内维持给定的处理压力,与来自高频电源110的200MHz的高频功率一起作用来自螺线管线圈111的磁场,由此混合气体被激发而等离子化。
在生成等离子之后,由高频电源105对样品台103供给偏置用的高频功率。由此,等离子中的离子入射到晶片2,进行晶片102的蚀刻处理。这时,对供给到真空容器101内的各气体成分在半径方向上进行任意调整,调整成:在等离子中,离解的气体成分的自由基和离子按照该面内分布进行分布,均匀地作用于晶片102面内的蚀刻处理。
在此,作为被蚀刻件的晶片102具有图2(a)所示的层叠膜。在晶片基板上设置配对层201,在本实施例中设置将硅氧化膜(SiO2)和多晶硅膜(Poly-Si)交替层叠的层叠膜,在配对层201上依次设置ACL膜(B-Doped ACL膜)202、硅氮氧化膜(SiON)203、防反射膜(BARC)204、光致抗蚀剂膜(PR)205。
接下来,说明上述结构的膜的蚀刻处理。首先,如图2(b)所示那样,将使电路图案曝光的光致抗蚀剂膜205作为掩模,通过蚀刻对防反射膜204、硅氮氧化膜203转印图案。在该硅氮氧化膜203的蚀刻处理中使用CHF3气体和SF6气体的混合气体。另外,这时也可以加进将光致抗蚀剂膜205以及防反射膜204去除的处理。接下来,如图2(c)所示那样,将硅氮氧化膜203作为掩模来对B-doped ACL202进行蚀刻。
在本发明中,由于将硅氮氧化膜203作为掩模来对ACL膜202中的硼进行蚀刻,因此特征在于,除了使用过去作为ACL的蚀刻剂的氧以外,还使用含氟气体、卤素气体和四氯化硅气体(SiCl4)或四氟化硅气体(SiF4)的混合气体。
这时,卤素气体相对于气体整体的比例根据B-Doped ACL202中所含的硼的含有量(例如5-70%)和调温组件107的设定温度来适当设定。在本实施例中,在对55%的硼浓度的B-Doped ACL膜进行蚀刻的情况下,将调温组件107的温度设为50℃,使用O2气体、CHF3气体、Cl2气体和SiCl4气体的混合气体。在该情况下,各气体种类的倾向如以下那样。
首先,若CHF3的比例相对于气体整体的流量较高,则氟自由基的供给变得过剩,与硼的反应自不必说,与碳的反应也得到促进,如图3(a)所示那样,在B-Doped ACL膜202发生侧蚀301或弯曲302。另外,若CHF3的比例低,则蚀刻不推进,如图3(b)所示那样成为蚀刻停止303。并且,通过添加Cl2,并适当控制与CHF3的气体比,从而能兼顾侧蚀抑制和高蚀刻速率。
另外,一般,对于样品台103的温度来说,为了在B-Doped ACL膜的上方的膜到下方的膜的连贯处理中维持高处理量,而优选设定成使在B-Doped ACL膜的上方的膜到下方的膜的蚀刻中合适的各个温度之差成为±10℃以内(在步骤间的变化率为1℃/s的情况下,温度变化等待时间为10s以内)。另外,一般按照B-Doped ACL膜的上方以及下方的层的材质变更来使样品台103的温度进行变化。
因此,在对B-Doped ACL膜的上方的膜到下方的膜进行连贯处理时,根据需要来提高样品台103的温度,在使CHF3的流量比在各个膜处降低、增加的基础上维持高蚀刻速率,进而控制相对于CHF3气体的Cl2气体比率,由此能兼顾高蚀刻速率和垂直性。反之,在使样品台103的温度降低的情况下,由于因温度降低,氟自由基的反应概率降低,从而能使侧蚀减少,因此使相对于CHF3气体的Cl2气体比率降低即可。即,还能通过调整样品台103的温度来调整弯曲形状或锥形形状的角度。
虽然能通过将相对于CHF3气体的Cl2气体比率设定得高来得到各向异性高的形状,但是也能通过使用高偏置功率、高压力区域来进行与现有的ACL蚀刻同等以上的高速率的处理。另外,高偏置化具有由反应生成物的增加带来的侧壁保护增加的效果,且具有抑制成为侧蚀或弯曲形状的效果。进而,如图4所示那样,B-Doped ACL膜的蚀刻速率401在偏置功率为1000W以下的区域中伴随着偏置功率的增加而大幅增加,但是在1000W以上的区域中缓慢地增加。
另外,掩模选择比402虽然伴随着偏置功率的增加而缓慢地减少,但是减少幅度较小。即,为了以高蚀刻速率对B-Doped ACL膜进行处理,优选偏置电源的功率设定设为1000W以上。这时,在4MHz的1000W下,由于正弦波的峰值间电压即Vpp为1350V,因此在偏置频率不同的情况下,能通过进行成为该Vpp以上的电源功率控制、或直接进行Vpp控制来调整。
进一步地,由于高压化会增加自由基流量(radical flux),降低等离子密度,因此如图5所示那样,伴随着高压化,B-Doped ACL膜的蚀刻速率501增加,选择比也增加。由于选择比502在压力为4Pa以上的区域中饱和,因此压力设定优选为4Pa以上。
并且,在本发明中,由于通过添加四氯化硅气体或四氟化硅气体,从而硅氧化化合物沉积于作为掩模的硅氮氧化膜203,硅氮氧化膜203的蚀刻量减少,因此能增加选择比。另外,由于掩模的残留量增加,因此能减少掩模的后退,能抑制图3(c)所示那样形状的上部的刻面304,能使掩模膜厚成为例如30-40nm这样的现有的ACL蚀刻处理程度的厚度。由此,避免了掩模成膜工序的复杂化,能提升处理量、CoO。
由于能如以上那样通过CHF3气体相对于Cl2气体的比和偏置功率来调整垂直性,因此晶片台为100℃以下即可。但是,为了对B-Doped ACL膜的上方以及下方的层叠膜在1个反应室中进行连贯加工并维持高处理量,优选设为0℃以上60℃以下。
另外,在本实施例中,使用CHF3气体作为含氟气体,但是此外也可以使用CH2F2气体、CH3F气体、NF3气体、CF4气体、SF6气体。另外,除了Cl2气体以外还可以使用含Br气体的HBr气体、HI气体。进而,除了SiCl4气体以外还可以使用SiF4气体。例如,在使用相比CHF3气体产生更多量的氟的NF3气体、CF4气体、SF6气体等的情况下,能通过使氟系气体比相比CHF3气体使用时更降低,或通过降低样品台103的设定温度来维持垂直形状。
进而,在本实施例中是使用氧气、含氟气体、卤素气体和四氯化硅气体的混合气体或者氧气、含氟气体、卤素气体和四氟化硅气体的混合气体来对含硼的无定形碳膜进行等离子蚀刻的示例,但是即使用N2气体、Ar气体、He气体、Xe气体、Kr气体等惰性气体对氧气、含氟气体、卤素气体和四氯化硅气体的混合气体或者氧气、含氟气体、卤素气体和四氟化硅气体的混合气体进行稀释,也能起到与本实施例同等的效果。
另外,在使用HBr气体的情况下,由于反应性比Cl2气体低,因此能以比Cl2气体小的流量来进行调整。在使用SiF4气体时,由于作为氧化膜的前体,同时还作为氟元素的供给源,因此能降低氟系气体的量,并且能通过适当调整流量来实现高选择比。
另外,在本实施例中是含硼的无定形碳膜即B-Doped ACL膜的掩模形成例,但是将本发明所涉及的干式蚀刻应用在不含硼的无定形碳膜中也能得到与本实施例同等的效果。即,将本发明所涉及的干式蚀刻应用在现有的无定形碳膜中也能得到与本实施例同等的效果。
这是因为,不含硼的无定形碳膜的主成分是与含硼的无定形碳膜的主成分相同的有机材料,通过添加四氯化硅气体或四氟化硅气体,从而硅氧化化合物沉积于作为掩模的硅氮氧化膜203,硅氮氧化膜203的蚀刻量减少,由此使选择比得到提升。
进而,为了实施本发明所涉及的干式蚀刻方法,需要以下蚀刻装置:即,具备上述的气体种类,且能施加3000W程度的偏置,在4Pa以上的高压力下是均匀的。在本实施例中使用了图1所示的平行平板结构的VHF蚀刻装置,但是在其他的使用Capasitively CoupledPlasma(CCP)、Inductively Coupled Plasma(ICP)、Micro Wave Electron CyclotronResonance(μ波ECR)等其他等离子源的等离子蚀刻装置中,也能通过调整压力、气体流量、气体比、偏置功率、频率、台温度等来得到与本实施例同样的效果。
以上,根据本发明,由于能将无机膜作为掩模以高蚀刻速率且以高选择比对无定形碳膜进行蚀刻,因此能进行包括前后工序在内的连贯蚀刻处理,能实现由简化掩模成膜工序带来的包括前后工序在内的高处理量化。
标号说明
101 真空容器
102 晶片
103 样品台
104 第一匹配器
105 偏置施加用的高频电源
106 直流电源
107 调温组件
108 天线
109 第二匹配器
110 等离子生成用的高频电源
111 螺线管线圈
112 喷淋板
113 内侧气体供给路
114 外侧气体供给路
115 主气体系统
116 内侧添加气体系统
117 外侧添加气体系统
118 流量控制器A
119 流量控制器B
120 流量控制器C
121a 流量控制器D
121b 流量控制器D
121c 流量控制器D
122a 流量控制器E
122b 流量控制器E
123 断流阀
124 气体分流器
201 硅氧化膜与多晶硅膜的配对层
202 B-Doped ACL膜
203 硅氮氧化膜
204 防反射膜
205 光致抗蚀剂膜
301 侧蚀
302 弯曲
303 蚀刻停止
304 刻面

Claims (9)

1.一种等离子处理方法,通过对具有含硼的无定形碳膜的层叠膜进行等离子蚀刻来形成掩模,所述等离子处理方法的特征在于,
使用氧气、含氟气体、卤素气体和四氟化硅气体的混合气体或者氧气、含氟气体、卤素气体和四氯化硅气体的混合气体来对所述含硼的无定形碳膜进行等离子蚀刻。
2.根据权利要求1所述的等离子处理方法,其特征在于,
所述含氟气体是CHF3气体、CH2F2气体、CH3F气体、NF3气体、CF4气体或SF6气体,
所述卤素气体是Cl2气体、HBr气体或HI气体。
3.根据权利要求1所述的等离子处理方法,其特征在于,
所述卤素气体相对于所述混合气体的流量比率比所述含氟气体相对于所述混合气体的流量比率高。
4.根据权利要求1所述的等离子处理方法,其特征在于,
通过对载置成膜了所述层叠膜的样品的样品台供给1000W以上的高频功率或者对所述样品台施加1350V以上的峰值间高频电压,来对所述含硼的无定形碳膜进行等离子蚀刻。
5.根据权利要求1~4中任一项所述的等离子处理方法,其特征在于,
将压力设为4Pa以上来对所述含硼的无定形碳膜进行等离子蚀刻。
6.根据权利要求1所述的等离子处理方法,其特征在于,
使用O2气体、CHF3气体、Cl2气体和SiCl4气体的混合气体来对所述含硼的无定形碳膜进行等离子蚀刻。
7.一种等离子处理方法,通过对具有无定形碳膜的层叠膜进行等离子蚀刻来形成掩模,所述等离子处理方法的特征在于,
使用氧气、含氟气体、卤素气体和四氟化硅气体的混合气体或者氧气、含氟气体、卤素气体和四氯化硅气体的混合气体来对所述无定形碳膜进行等离子蚀刻。
8.一种等离子处理装置,具备:
对样品进行等离子处理的处理室;和
供给用于在所述处理室内生成等离子的高频功率的高频电源,
所述等离子处理装置的特征在于,还具备:
将第一气体和向所述第一气体混合的气体即第二气体经由多个区域供给到所述处理室内的气体供给机构。
9.根据权利要求8所述的等离子处理装置,其特征在于,
所述气体供给机构具有:
将混合了多种气体的第一气体向所述多个区域的各个区域供给的第一气体配管;和
与所述第一气体配管连接并流过所述第二气体的第二气体配管。
CN201780000763.8A 2016-03-28 2017-01-31 等离子处理方法以及等离子处理装置 Active CN107438892B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010515418.1A CN111627807B (zh) 2016-03-28 2017-01-31 等离子处理方法以及等离子处理装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016-062977 2016-03-28
JP2016062977 2016-03-28
PCT/JP2017/003258 WO2017154407A1 (ja) 2016-03-28 2017-01-31 プラズマ処理方法およびプラズマ処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010515418.1A Division CN111627807B (zh) 2016-03-28 2017-01-31 等离子处理方法以及等离子处理装置

Publications (2)

Publication Number Publication Date
CN107438892A true CN107438892A (zh) 2017-12-05
CN107438892B CN107438892B (zh) 2021-08-24

Family

ID=59790197

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010515418.1A Active CN111627807B (zh) 2016-03-28 2017-01-31 等离子处理方法以及等离子处理装置
CN201780000763.8A Active CN107438892B (zh) 2016-03-28 2017-01-31 等离子处理方法以及等离子处理装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010515418.1A Active CN111627807B (zh) 2016-03-28 2017-01-31 等离子处理方法以及等离子处理装置

Country Status (6)

Country Link
US (1) US10157750B2 (zh)
JP (3) JP6458156B2 (zh)
KR (3) KR102329531B1 (zh)
CN (2) CN111627807B (zh)
TW (2) TWI638406B (zh)
WO (1) WO2017154407A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110571138A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN111066129A (zh) * 2018-06-04 2020-04-24 东京毅力科创株式会社 蚀刻处理方法和蚀刻处理装置
CN112119484A (zh) * 2019-04-19 2020-12-22 株式会社日立高新技术 等离子体处理方法
CN112119485A (zh) * 2019-04-22 2020-12-22 株式会社日立高新技术 等离子处理方法以及等离子处理装置
CN113348536A (zh) * 2019-09-13 2021-09-03 株式会社日立高新技术 半导体装置的制造方法以及等离子体处理装置

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6458156B2 (ja) * 2016-03-28 2019-01-23 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020031224A1 (ja) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP7190988B2 (ja) * 2019-08-21 2022-12-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2021141153A (ja) 2020-03-04 2021-09-16 キオクシア株式会社 パターン形成方法及び半導体装置の製造方法
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117546276A (zh) * 2022-04-18 2024-02-09 株式会社日立高新技术 等离子体处理方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1956154A (zh) * 2005-10-12 2007-05-02 三星电子株式会社 刻蚀含-碳层的方法和制造半导体器件的方法
CN101164147A (zh) * 2005-03-15 2008-04-16 美光科技公司 相对于光刻部件间距减小的图案
US20080160766A1 (en) * 2007-01-03 2008-07-03 Hynix Semiconductor Inc. Method for fabricating bulb-shaped recess pattern
TW201137965A (en) * 2010-04-19 2011-11-01 Hitachi High Tech Corp Plasma processing apparatus and plasma processing method
CN103928339A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 SiGe PMOS半导体器件的制作方法
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
US20160035541A1 (en) * 2014-07-31 2016-02-04 Tokyo Electron Limited Plasma processing apparatus and gas supply member

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP3438313B2 (ja) * 1994-05-12 2003-08-18 富士通株式会社 パターン形成方法
US6007733A (en) * 1998-05-29 1999-12-28 Taiwan Semiconductor Manufacturing Company Hard masking method for forming oxygen containing plasma etchable layer
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
KR100898590B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2009088522A (ja) * 2007-09-28 2009-04-23 Hynix Semiconductor Inc 半導体装置のリセスゲート製造方法
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP5644401B2 (ja) * 2010-11-15 2014-12-24 株式会社Sumco エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ
CN102412139A (zh) * 2011-05-23 2012-04-11 上海华力微电子有限公司 一种无定形碳硬掩模的等离子体刻蚀方法
JP2014007370A (ja) 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
CN103515197A (zh) * 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
FR3002689B1 (fr) * 2013-02-25 2016-10-28 Commissariat Energie Atomique Procede de gravure autolimitant a niveaux multiples
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9287124B2 (en) 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
JP6277004B2 (ja) 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6458156B2 (ja) * 2016-03-28 2019-01-23 株式会社日立ハイテクノロジーズ プラズマ処理方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101164147A (zh) * 2005-03-15 2008-04-16 美光科技公司 相对于光刻部件间距减小的图案
CN1956154A (zh) * 2005-10-12 2007-05-02 三星电子株式会社 刻蚀含-碳层的方法和制造半导体器件的方法
US20080160766A1 (en) * 2007-01-03 2008-07-03 Hynix Semiconductor Inc. Method for fabricating bulb-shaped recess pattern
TW201137965A (en) * 2010-04-19 2011-11-01 Hitachi High Tech Corp Plasma processing apparatus and plasma processing method
CN103928339A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 SiGe PMOS半导体器件的制作方法
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
US20160035541A1 (en) * 2014-07-31 2016-02-04 Tokyo Electron Limited Plasma processing apparatus and gas supply member

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111066129A (zh) * 2018-06-04 2020-04-24 东京毅力科创株式会社 蚀刻处理方法和蚀刻处理装置
CN111066129B (zh) * 2018-06-04 2024-04-05 东京毅力科创株式会社 蚀刻处理方法和蚀刻处理装置
CN110571138A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN112119484A (zh) * 2019-04-19 2020-12-22 株式会社日立高新技术 等离子体处理方法
CN112119484B (zh) * 2019-04-19 2024-03-22 株式会社日立高新技术 等离子体处理方法
CN112119485A (zh) * 2019-04-22 2020-12-22 株式会社日立高新技术 等离子处理方法以及等离子处理装置
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
CN113348536A (zh) * 2019-09-13 2021-09-03 株式会社日立高新技术 半导体装置的制造方法以及等离子体处理装置
CN113348536B (zh) * 2019-09-13 2024-04-02 株式会社日立高新技术 半导体装置的制造方法以及等离子体处理装置

Also Published As

Publication number Publication date
US10157750B2 (en) 2018-12-18
CN111627807B (zh) 2023-08-29
TWI638406B (zh) 2018-10-11
JP2018160689A (ja) 2018-10-11
KR102262750B1 (ko) 2021-06-10
WO2017154407A1 (ja) 2017-09-14
JP2019186572A (ja) 2019-10-24
JP6580215B2 (ja) 2019-09-25
TWI685034B (zh) 2020-02-11
CN111627807A (zh) 2020-09-04
KR20170125009A (ko) 2017-11-13
US20180068862A1 (en) 2018-03-08
CN107438892B (zh) 2021-08-24
JP6734973B2 (ja) 2020-08-05
KR101990332B1 (ko) 2019-06-18
JP6458156B2 (ja) 2019-01-23
TW201802931A (zh) 2018-01-16
TW201838033A (zh) 2018-10-16
KR102329531B1 (ko) 2021-11-23
KR20190119176A (ko) 2019-10-21
JPWO2017154407A1 (ja) 2018-03-15
KR20180063383A (ko) 2018-06-11

Similar Documents

Publication Publication Date Title
CN107438892A (zh) 等离子处理方法以及等离子处理装置
US10192759B2 (en) Image reversal with AHM gap fill for multiple patterning
JP7246547B2 (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP6415035B2 (ja) ギャップフィルのための共形膜蒸着
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
JP7334185B2 (ja) マルチプルパターンニング処理での原子層堆積を使用するスペーサプロファイル制御
CN107017162A (zh) 具有高产量的超高选择比的多晶硅蚀刻
CN108172493B (zh) 等离子体处理装置和等离子体处理方法
JP2001156045A5 (zh)
JP2023159347A (ja) 基板処理方法および基板処理装置
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant