JP2018160689A - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
JP2018160689A
JP2018160689A JP2018119547A JP2018119547A JP2018160689A JP 2018160689 A JP2018160689 A JP 2018160689A JP 2018119547 A JP2018119547 A JP 2018119547A JP 2018119547 A JP2018119547 A JP 2018119547A JP 2018160689 A JP2018160689 A JP 2018160689A
Authority
JP
Japan
Prior art keywords
gas
film
processing method
plasma processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018119547A
Other languages
English (en)
Other versions
JP6580215B2 (ja
Inventor
聡志 寺倉
Satoshi Terakura
聡志 寺倉
森 政士
Masashi Mori
政士 森
荒瀬 高男
Takao Arase
高男 荒瀬
岩瀬 拓
Taku Iwase
拓 岩瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Publication of JP2018160689A publication Critical patent/JP2018160689A/ja
Application granted granted Critical
Publication of JP6580215B2 publication Critical patent/JP6580215B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】本発明は、ホウ素が含有されたアモルファスカーボン膜を用いた積層膜をエッチングする際、高選択比、高エッチングレートを実現することで一貫加工を可能とし、マスク成膜工程を簡素化することにより前後工程含めた高スループット化を実現し、さらに、垂直加工の形状制御性を有するプラズマ処理方法、およびその処理装置を提供する。
【解決手段】
本発明は、ホウ素が含有されたアモルファスカーボン膜を有する積層膜をプラズマエッチングすることによりマスクを形成するプラズマ処理方法において、酸素ガスとフッ素含有ガスとハロゲンガスと四フッ化シリコンガスの混合ガスまたは酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスを用いて前記ホウ素が含有されたアモルファスカーボン膜をプラズマエッチングすることを特徴とする。
【選択図】図2

Description

本発明は、半導体製造に関わるプラズマを用いて試料を処理するプラズマ処理方法、およびその装置に関するものである。
3次元構造を持つフラッシュメモリ(3D−NAND等)のゲート製造工程においては、デバイスの大容量化に伴い、特許文献1に開示されているようにポリシリコン膜とシリコン酸化膜のペア層総数が48層、72層、96層、120層と増加してきており、プラズマエッチング加工の高アスペクト化が進行している。
従来から、これら積層膜をエッチングする際は、パターニングされたフォトレジスト膜(PR)と反射防止膜(Bottom Anti−Reflection Coat:BARC)とアモルファスカーボン膜(Amorphous Carbon Layer:ACL、以下、ACL膜と称する)の積層膜がエッチングマスクとして使用されてきたが、高アスペクト化に伴い、アモルファスカーボン膜のマスクの厚さ不足が顕在化してきた。
そのため、ACL膜にホウ素元素(B)をドープ(含有)し、耐プラズマ性を向上させたホウ素を含有するB−Doped ACL膜が採用されてきている。従来のACL膜をエッチングする際は、酸素を主体として、COSや窒素を添加、または、アルゴンやヘリウムで希釈したプラズマを用いてレジストのパターンをACL膜に転写していたが、10から40%程度のホウ素を含有するB−Doped ACL膜をこのガス系でエッチングすることは困難だった。
この問題を解決するため、特許文献2には、ウェハステージの温度を100℃以上とすることによりホウ素の反応生成物の揮発性を増加させてエッチングを行う方法が開示され、特許文献3には、CH、Cl、SF、Oの混合ガスを用いてフッ化ホウ素とすることにより、反応生成物揮発性を増加させるとともにCl、CHで加工垂直性を制御することによって、10〜30℃の常温でエッチングする方法が開示されている。
特開2015−144158号公報 特開2014−007370号公報 US2015/0064914 A1
特許文献2に開示された方法では、PR/BARC/SiON/B−doped ACLのマスク構造のサンプルをエッチングする際、フォトレジスト膜が高温のため、炭化してパターンが損傷する。また、下層のシリコン/シリコン酸化膜のペア層のエッチ時には50℃以下の低温側でエッチングする必要があるため、同一チャンバーによるマスクから下地膜までのエッチング(いわゆる一貫処理)が出来ない。たとえ一貫処理が出来たとしても、温度待ち時間が長くエッチング処理のスループットが低下したりするという問題が生じた。
また、特許文献3に開示された方法では、従来のACL膜のエッチング時より対シリコン酸窒化膜選択比が小さいため、従来のACL膜エッチング時に必要とされた30−40nm厚さのシリコン酸窒化膜を使用した場合、下層のSiO膜までエッチングが到達できない、もしくは、エッチング進行中、シリコン酸窒化膜の開口部の際から後退していくファセッティングが発生する問題が発生した。
それら問題を回避するため、シリコン酸窒化膜を厚くしたりする方法を採用せざるを得ないが、マスク成膜工程でのスループットが大きく低下、ひいてはCoO(Cost of Owership)を大きく増加させる問題が発生している。
さらに、上下層膜との組み合わせに応じて、ホウ素濃度や使用するステージ温度の中心値を適宜変更する可能性があるため、B−Doped ACL膜のエッチングする際には、その他の形状異常(サイドエッチ、ボーイング、エッチストップ)を解消できる形状制御性を有し、垂直に近いエッチング加工を実現できる必要がある。
以上、本発明は、ホウ素が含有されたアモルファスカーボン膜を用いた積層膜をエッチングする際、高選択比、高エッチングレートを実現することで一貫加工を可能とし、マスク成膜工程を簡素化することにより前後工程含めた高スループット化を実現し、さらに、垂直加工の形状制御性を有するプラズマ処理方法、およびその処理装置を提供することを目的とするものである。
本発明は、ホウ素が含有されたアモルファスカーボン膜を有する積層膜をプラズマエッチングすることによりマスクを形成するプラズマ処理方法において、酸素ガスとフッ素含有ガスとハロゲンガスと四フッ化シリコンガスの混合ガスまたは酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスを用いて前記ホウ素が含有されたアモルファスカーボン膜をプラズマエッチングすることを特徴とする。
また、本発明は、試料がプラズマ処理される処理室と、前記処理室内にプラズマを生成するための高周波電力を供給する高周波電源とを備えるプラズマ処理装置において、第一のガスと前記第一のガスへ混合されるガスである第二のガスを複数の領域を介して前記処理室内へ供給するガス供給機構をさらに備えることを特徴とする。
本発明により無機膜をマスクとしてホウ素が含有されたアモルファスカーボン膜を高エッチングレートかつ高選択比でエッチングをすることができる。
本発明に係る平行平板型の有磁場VHFドライエッチング装置の縦断面図で ある。 本発明のプラズマ処理方法の一実施例におけるエッチング形状を示す図であ る。 形状異常を示すエッチング断面の模式図である。 本発明におけるB−Doped ACLエッチングレート及びマスク選択比 に対するバイアス電力依存性を示す図である。 本発明におけるB−Doped ACLエッチングレート及びマスク選択比 に対する圧力依存性を示す図である。
以下、本発明の実施例を図1ないし図5により説明する。図1は本発明のプラズマ処理装置の一例である平行平板型の有磁場VHFドライエッチング装置の縦断面図である。
円筒状の内部空間を有し処理室である真空容器101内の下部には、上面に試料であるウェハ102を載置する試料台103が設けられている。試料台103には第一の整合器104を介してバイアス印加用の高周波電源105およびウェハ静電吸着用の直流電源106が接続されている。また、試料台103には温調ユニット107が接続されている。
高周波電源105は、この場合、周波数4MHzを用いている。
また、真空容器101内の下部には、排気口が設けられ、この排気口に図示を省略した排気装置が繋がる。真空容器101内の上部には、試料台103のウェハ載置面に対向して平板状のアンテナ108が設けられ第二の整合器109を介してプラズマ生成用の高周波電源110が接続されている。高周波電源110は、本実施例において周波数200MHzのVHF波を用いている。また、真空容器1外部の上面及び外周には、真空容器101内に磁場を形成するソレノイドコイル111が設けられている。ソレノイドコイル111は、真空容器101の軸方向で試料台103に向かう発散磁場を形成する。
試料台103に対向するアンテナ108の下面には多数のガス供給孔を有するシャワープレート112が設けられ、アンテナ108とシャワープレート112との間に、内側空間と内側空間を囲む外側空間とが形成され、それぞれの空間にガス供給孔がつながっている。内側空間には内側ガス供給路113が設けられ、外側空間には外側ガス供給路114が設けられている。内側ガス供給路113にはメインガス系統115と内側添加ガス系統116とを混合して接続し、外側ガス供給路114にはメインガス系統115と外側添加ガス系統117とを混合して接続してある。
メインガス系統115は、酸素とフッ素含有ガスとハロゲンガスと不活性ガスとから成る混合ガスである第一のガスのガス系統であり、本実施例においては、フッ素含有ガスはCHFであり、ハロゲンガスはClであり、不活性ガスはNである。メインガス系統115は、ガス源Oの流量を制御する流量制御器A118と、ガス源CHFの流量を制御する流量制御器B119と、ガス源Cl流量を制御する流量制御器C120と、ガス源Nの流量を制御する流量制御器D121aと、これら流量制御器118ないし121aにそれぞれ接続したストップバルブ123と、それぞれのストップバルブ123を介して混合されたガスが供給されるガス分流器124とから成る。
内側添加ガス系統116および外側添加ガス系統117は、シリコン含有ガス(四塩化シリコンガスまたは四フッ化シリコンガス)と不活性ガスとから成る混合ガスである第二のガスのガス系統であり、本実施例においては、シリコン含有ガスはSiClであり、不活性ガスはNである。内側添加ガス系統116は、ガス源Nの流量を制御する流量制御器D121bと、ガス源SiClの流量を制御する流量制御器E122aと、これら流量制御器121bおよび122aにそれぞれ接続したストップバルブ123とから成り、それぞれのストップバルブ123を介して混合されたガスを供給する。外側添加ガス系統117は、ガス源Nの流量を制御する流量制御器D121cと、ガス源SiClの流量を制御する流量制御器E122bと、これら流量制御器D121cおよび122bにそれぞれ接続したストップバルブ123とから成り、それぞれのストップバルブ123を介して混合されたガスを供給する。
流量制御器118ないし121aによって各ガス源からのガス流量を各設定流量に調整された各ガスは、ガス流量分流器115によって内側ガス供給路113と外側ガス供給路114とに所定の比率で分流され流される。流量制御器121bおよび122aによって各ガス源からのガス流量を各設定流量に調整された各ガスは、ガス流量分流器115によって内側ガス供給路113へ分流されたガスと混合されてシャワープレート112の内側空間に供給され、ガス供給孔を介して真空容器101の中央側に供給される。
流量制御器121cおよび122bによって各ガス源からのガス流量を各設定流量に調整された各ガスは、ガス流量分流器115によって外側ガス供給路114へ分流されたガスと混合されてシャワープレート112の外側空間に供給され、ガス供給孔を介して真空容器101の中央部を囲む外側に供給される。
すなわち、メインガスはガス流量分流器124によってシャワープレートの分離された内外領域に合わせ所定の比率で分割し、それぞれに流量調整した添加ガスを所定比率で分割された各メインガスに合流させて、シャワープレートの内外領域に供給する。これにより、真空容器101内のシャワープレート112下方半径方向に、各ガス成分を任意に調整した面内分布を得ることができる。
なお、本実施例ではシャワープレート112内のガス溜め空間を内外の2領域に分離したが、3領域以上でも良く、また、円周方向に複数分離しても良く、分離された数に合わせてガス流量分流器124の分割数を設定し、同一ガス種の添加ガス系統の数を設ければ良い。
また、このようなガス領域分割の効果は、シャワープレート112と試料台103との距離が狭いほど効果がある。
上述のように構成された装置により次のように処理が行われる。
図示省略の搬送装置により真空容器101内に搬入されたウェハ102が試料台103上に配置され、直流電源106からの電圧印加によりウェハ102が試料台103上に静電吸着される。試料台103に吸着・保持されたウェハ102の裏面には伝熱ガスであるHガスが供給され、温調ユニット107によって温度調整された試料台103との間で熱伝達が行われてウェハ102が所定の処理温度に維持される。
ウェハ102が試料台103に保持された後、真空容器101内に、メインガス系統115、内側添加ガス系統116および外側添加ガス系統117から内側ガス供給路113および外側ガス供給路114にそれぞれ流量制御された処理ガスであるO、CHF、Cl、SiCl、Nの混合ガスが供給される。供給された混合ガスは、シャワープレート112を介して真空容器内に供給され、真空容器101内で所定の処理圧力に維持されて、高周波電源110からの200MHzの高周波電力とともにソレノイドコイル111からの磁場の作用によって混合ガスが励起されプラズマ化される。
プラズマが生成された後は、高周波電源105により試料台103にバイアス用の高周波電力を供給する。これによりプラズマ中のイオンがウェハ2に入射し、ウェハ102のエッチング処理が行われる。この時、真空容器101内に供給する各ガス成分を半径方向で任意に調整されており、プラズマ中においてはその面内分布に応じて解離されたガス成分のラジカルやイオンが分布し、ウェハ102面内のエッチング処理に均一に作用するよう調整されている。
ここで、被エッチング材であるウェハ102は、図2(a)に示す積層膜を有する。ウェハ基板上にはペア層201、本実施例においては、シリコン酸化膜(SiO2)とポリシリコン膜(Poly−Si)とを交互に積層した積層膜が設けられ、ペア層201上に順次、ACL膜(B−Doped ACL膜)202、シリコン酸窒化膜(SiON)203、反射防止膜(BARC)204、フォトレジスト膜(PR)205が設けられている。
次に上述構造の膜のエッチング処理について説明する。まず、図2(b)に示すように、回路パターンを露光されたフォトレジスト膜205をマスクとして反射防止膜204、シリコン酸窒化膜203をエッチングによりパターンを転写する。このシリコン酸窒化膜203のエッチング処理では、CHFガスとSFガスの混合ガスを用いる。また、この時、フォトレジスト膜205及び反射防止膜204を除去する処理を入れても良い。続いて図2(c)に示すようにシリコン酸窒化膜203をマスクとしてB−doped ACL202をエッチングする。
本発明では、シリコン酸窒化膜203をマスクとしてACL膜202中のホウ素をエッチングするため、従来ACLのエッチャントである酸素に加え、フッ素含有ガスとハロゲンガスと四塩化シリコンガス(SiCl)または四フッ化シリコンガス(SiF)の混合ガスを用いることを特徴とする。
この時、ガス全体に対するハロゲンガスの割合は、B−Doped ACL202に含まれるホウ素の含有量(例えば5−70%)と温調ユニット107の設定温度に応じて適宣設定する。本実施例では、55%のホウ素濃度のB−Doped ACL膜をエッチングする場合、温調ユニット107の温度を50℃とし、OガスとCHFガスとClガスとSiClガスの混合ガスを使用した。この場合、各ガス種の傾向は、以下のようになる。
まず、ガス全体の流量に対しCHFの割合が高いとフッ素ラジカルの供給が過剰となり、ホウ素はもとより、カーボンとの反応も促進され、図3(a)に示すようにB−Doped ACL膜202にサイドエッチ301やボーイング302が発生する。また、CHFの割合が低いとエッチングが進行せず、図3(b)に示すようにエッチストップ303となる。そして、Clを添加し、CHFとのガス比を適宜制御することによりサイドエッチ抑制と高エッチングレートを両立することが可能となる。
また、一般に試料台103の温度は、B−Doped ACL膜の上方の膜から下方の膜までの一貫処理において高スループットを維持するため、B−Doped ACL膜の上方の膜から下方の膜までのエッチングに適切な各々の温度の差が±10℃以内となるように設定(ステップ間の変化率1℃/sの場合、温度変化待ち時間10s以内)することが好ましい。また、B−Doped ACL膜の上方及び下方の層の材質変更に応じて試料台103の温度を変化させることが一般的である。
従って、B−Doped ACL膜の上方の膜から下方の膜までを一貫処理する際、試料台103の温度を必要に応じて上げ、CHFの流量比は、それぞれの膜で低下、増加させた上で高エッチレートを維持し、さらにCHFガスに対するClガス比率を制御することにより高エッチングレートと垂直性を両立することが可能となる。逆に試料台103の温度を低くする場合は、温度低下によりフッ素ラジカルの反応確率が低減することによりサイドエッチを低減させることが出来るため、CHFガスに対するClガス比率は低下させるとよい。すなわち、試料台103の温度を調整することによりボーイング形状やテーパ形状の角度の調整をすることも出来る。
CHFガスに対するClガス比率を高く設定することにより異方性の高い形状を得ることが出来るが、さらに高バイアス電力、高圧力領域を使用することにより従来のACLエッチングと同等以上の高レートな処理が可能となる。また、高バイアス化は、反応生成物の増加による側壁保護増加の効果があり、サイドエッチングやボーイング形状となるのを抑制する効果がある。さらに図4に示すようにB−Doped ACL膜のエッチングレート401は、バイアス電力が1000W以下の領域においてバイアス電力の増加に伴い、大きく増加するが、1000W以上の領域では緩やかに増加する。
また、マスク選択比402は、バイアス電力の増加に伴い、緩やかに減少するが、減少幅は、小さい。すなわち、B−Doped ACL膜を高エッチングレートで処理するには、バイアス電源の電力設定は1000W以上とすることが好ましい。この時、4MHzの1000Wでは、正弦波のピーク間電圧であるVppが1350Vであるため、バイアス周波数が異なる場合は、このVpp以上となる電源電力、もしくは直接Vpp制御することにより調整できる。
さらに高圧化は、ラジカルフラックスが増加し、プラズマ密度が低下するため、図5に示すように高圧化に伴い、B−Doped ACL膜のエッチングレート501は増加し、選択比も増加する。選択比502は、圧力が4Pa以上の領域では飽和しているため、圧力設定は4Pa以上とすることが好ましい。
そして、本発明では、四塩化シリコンガスまたは四フッ化シリコンガスを添加することによりシリコン酸化化合物がマスクであるシリコン酸窒化膜203に堆積し、シリコン酸窒化膜203のエッチング量が減少するため、選択比を増加させることが出来る。また、マスクの残り量が増加するため、マスクの後退を減少させることが出来、図3(c)に示すように形状の上部のファセッティング304を抑制することや、マスク膜厚を例えば、30−40nmといった従来のACLエッチング処理程度の厚さにすることが出来る。このことによりマスク成膜工程の複雑化を回避し、スループット、CoO向上することが可能となる。
以上のようにClガスに対するCHFガスの比とバイアス電力により垂直性の調整は可能であるため、ウェハステージは100℃以下であれば良い。しかし、B−Doped ACL膜の上方及び下方の積層膜を1チャンバーで一貫加工して高スループットを維持するためには、0℃以上60℃以下とすることが好ましい。
また、本実施例ではフッ素含有ガスとしてCHFガスを使用したが、その他CHガス、CHFガス、NFガス、CFガス、SFガスを使用しても良い。また、Clガスの他にBr含有ガスのHBrガス、HIガスを使用しても良い。さらにSiClガスのほかにSiFガスを使用してもよい。例えば、CHFガスより多量のフッ素を発生させるNFガス、CFガス、SFガス等を使用した場合、フッ素系ガス比をCHFガスの使用時より低下させる、または、試料台103の設定温度を低下させることにより垂直形状を維持することができる。
さらに本実施例では、酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスまたは酸素ガスとフッ素含有ガスとハロゲンガスと四フッ化シリコンガスの混合ガスを用いてホウ素を含有するアモルファスカーボン膜をプラズマエッチングする例であったが、酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスまたは酸素ガスとフッ素含有ガスとハロゲンガスと四フッ化シリコンガスの混合ガスをNガス、Arガス、Heガス、Xeガス、Krガス等の不活性ガスにより希釈しても本実施例と同等の効果を奏することができる。
また、HBrガスを使用した場合は、Clガスより反応性が低いため、Clガスより小流量で調整可能である。SiFガスを使用した際は、酸化膜の前駆体であると同時にフッ素元素の供給源でもあるため、フッ素系ガスの量を低下させつつ、適宜流量を調整することにより高選択比を実現できる。
また、本実施例では、ホウ素が含有されたアモルファスカーボン膜であるB−Doped ACL膜のマスク形成例であったが、本発明に係るドライエッチングをホウ素が含有されていないアモルファスカーボン膜に適用しても本実施例と同等の効果を得ることができる。つまり、本発明に係るドライエッチングを従来のアモルファスカーボン膜に適用しても本実施例と同等の効果を得ることができる。
なぜならば、ホウ素が含有されていないアモルファスカーボン膜の主成分がホウ素を含有するアモルファスカーボン膜の主成分と同じ有機材であり、四塩化シリコンガスまたは四フッ化シリコンガスを添加することによりシリコン酸化化合物がマスクであるシリコン酸窒化膜203に堆積し、シリコン酸窒化膜203のエッチング量が減少することによって選択比を向上させているからである。
さらに本発明に係るドライエッチング方法を実施するには、上述したガス種を備え、かつ3000W程度のバイアスが印加でき、4Pa以上の高圧力で均一なエッチング装置が必要である。本実施例では、図1に示した平行平板構造のVHFエッチング装置を用いたが、他のCapasitively Coupled Plasma(CCP)、Inductively Coupled Plasma(ICP)、Micro Wave Electron Cyclotron Resonance(μ波ECR)等の他のプラズマ源を用いたプラズマエッチング装置においても、圧力、ガス流量、ガス比、バイアス電力、周波数、ステージ温度等を調整することにより本実施例と同様な効果を得ることが出来る。
以上、本発明により、無機膜をマスクとしてアモルファスカーボン膜を高エッチングレートかつ高選択比でエッチングをすることが出来るため、前後工程含めた一貫エッチング処理を可能とし、マスク成膜工程を簡素化することによる前後工程含めた高スループット化を実現することが可能となる。
101:真空容器
102:ウェハ
103:試料台
104:第一の整合器
105:バイアス印加用の高周波電源
106:直流電源
107:温調ユニット
108:アンテナ
109:第二の整合器
110:プラズマ生成用の高周波電源
111:ソレノイドコイル
112:シャワープレート
113:内側ガス供給路
114:外側ガス供給路
115:メインガス系統
116:内側添加ガス系統
117:外側添加ガス系統
118:流量制御器A
119:流量制御器B
120:流量制御器C
121a:流量制御器D
121b:流量制御器D
121c:流量制御器D
122a:流量制御器E
122b:流量制御器E
123:ストップバルブ
124:ガス分流器
201:シリコン酸化膜とポリシリコン膜のペア層
202:B−Doped ACL膜
203:シリコン酸窒化膜
204:反射防止膜
205:フォトレジスト膜
301:サイドエッチ
302:ボーイング
303:エッチストップ
304:ファセッティング

Claims (9)

  1. ホウ素が含有されたアモルファスカーボン膜を有する積層膜をプラズマエッチングすることによりマスクを形成するプラズマ処理方法において、
    シリコン酸窒化膜(SiON)をマスクとして酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスを用いて前記ホウ素が含有されたアモルファスカーボン膜をプラズマエッチングすることを特徴とするプラズマ処理方法。
  2. ホウ素が含有されたアモルファスカーボン膜を有する積層膜をプラズマエッチングすることによりマスクを形成するプラズマ処理方法において、
    シリコン酸窒化膜(SiON)をマスクとしてOガスとCHFガスとClガスとSiClガスの混合ガスを用いて前記ホウ素が含有されたアモルファスカーボン膜をプラズマエッチングすることを特徴とするプラズマ処理方法。
  3. 請求項1に記載のプラズマ処理方法において、
    前記フッ素含有ガスは、CHFガス、CHガス、CHFガス、NFガス、CFガスまたはSFガスであって、
    前記ハロゲンガスは、Clガス、HBrガスまたはHIガスであることを特徴とするプラズマ処理方法。
  4. 請求項1に記載のプラズマ処理方法において、
    前記混合ガスに対する前記ハロゲンガスの流量比率は、前記混合ガスに対する前記フッ素含有ガスの流量比率より高いことを特徴とするプラズマ処理方法。
  5. 請求項2に記載のプラズマ処理方法において、
    前記混合ガスに対する前記Clガスの流量比率は、前記混合ガスに対する前記CHFガスの流量比率より高いことを特徴とするプラズマ処理方法。
  6. 請求項1ないし請求項5のいずれか一項に記載のプラズマ処理方法において、
    前記積層膜が成膜された試料を載置する試料台に1000W以上の高周波電力を供給または前記試料台に1350V以上のピーク間高周波電圧を印加することにより前記ホウ素が含有されたアモルファスカーボン膜をプラズマエッチングすることを特徴とするプラズマ処理方法。
  7. 請求項1ないし請求項6のいずれか一項に記載のプラズマ処理方法において、
    圧力を4Pa以上として前記ホウ素が含有されたアモルファスカーボン膜をプラズマエッチングすることを特徴とするプラズマ処理方法。
  8. アモルファスカーボン膜を有する積層膜をプラズマエッチングすることによりマスクを形成するプラズマ処理方法において、
    シリコン酸窒化膜(SiON)をマスクとして酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスを用いて前記アモルファスカーボン膜をプラズマエッチングすることを特徴とするプラズマ処理方法。
  9. ホウ素が含有されていないアモルファスカーボン膜を有する積層膜をプラズマエッチングすることによりマスクを形成するプラズマ処理方法において、
    酸素ガスとフッ素含有ガスとハロゲンガスと四フッ化シリコンガスの混合ガスまたは酸素ガスとフッ素含有ガスとハロゲンガスと四塩化シリコンガスの混合ガスを用いて前記ホウ素が含有されていないアモルファスカーボン膜をプラズマエッチングすることを特徴とするプラズマ処理方法。
JP2018119547A 2016-03-28 2018-06-25 プラズマ処理方法 Active JP6580215B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016062977 2016-03-28
JP2016062977 2016-03-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017538254A Division JP6458156B2 (ja) 2016-03-28 2017-01-31 プラズマ処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019129708A Division JP6734973B2 (ja) 2016-03-28 2019-07-12 プラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2018160689A true JP2018160689A (ja) 2018-10-11
JP6580215B2 JP6580215B2 (ja) 2019-09-25

Family

ID=59790197

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017538254A Active JP6458156B2 (ja) 2016-03-28 2017-01-31 プラズマ処理方法
JP2018119547A Active JP6580215B2 (ja) 2016-03-28 2018-06-25 プラズマ処理方法
JP2019129708A Active JP6734973B2 (ja) 2016-03-28 2019-07-12 プラズマ処理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017538254A Active JP6458156B2 (ja) 2016-03-28 2017-01-31 プラズマ処理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019129708A Active JP6734973B2 (ja) 2016-03-28 2019-07-12 プラズマ処理方法

Country Status (6)

Country Link
US (1) US10157750B2 (ja)
JP (3) JP6458156B2 (ja)
KR (3) KR102329531B1 (ja)
CN (2) CN111627807B (ja)
TW (2) TWI638406B (ja)
WO (1) WO2017154407A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021034483A (ja) * 2019-08-21 2021-03-01 東京エレクトロン株式会社 エッチング方法及び基板処理装置
WO2023203591A1 (ja) * 2022-04-18 2023-10-26 株式会社日立ハイテク プラズマ処理方法

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN111627807B (zh) * 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
WO2019235398A1 (ja) * 2018-06-04 2019-12-12 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN110571138A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020031224A1 (ja) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR102419373B1 (ko) * 2019-04-19 2022-07-12 주식회사 히타치하이테크 플라스마 처리 방법
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102500552B1 (ko) * 2019-09-13 2023-02-17 주식회사 히타치하이테크 반도체 장치의 제조 방법 및 플라스마 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2021141153A (ja) 2020-03-04 2021-09-16 キオクシア株式会社 パターン形成方法及び半導体装置の製造方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7110492B2 (ja) 2020-06-16 2022-08-01 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07307328A (ja) * 1994-05-12 1995-11-21 Fujitsu Ltd パターン形成方法
JP2007507091A (ja) * 2003-08-22 2007-03-22 マイクロン・テクノロジー・インコーポレーテッド マスキング方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US6007733A (en) * 1998-05-29 1999-12-28 Taiwan Semiconductor Manufacturing Company Hard masking method for forming oxygen containing plasma etchable layer
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
KR100898590B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2009088522A (ja) * 2007-09-28 2009-04-23 Hynix Semiconductor Inc 半導体装置のリセスゲート製造方法
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP2011228436A (ja) * 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP5644401B2 (ja) * 2010-11-15 2014-12-24 株式会社Sumco エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ
CN102412139A (zh) * 2011-05-23 2012-04-11 上海华力微电子有限公司 一种无定形碳硬掩模的等离子体刻蚀方法
CN103515197A (zh) * 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
FR3002689B1 (fr) * 2013-02-25 2016-10-28 Commissariat Energie Atomique Procede de gravure autolimitant a niveaux multiples
US9287124B2 (en) 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
JP6277004B2 (ja) * 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
CN103928339A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 SiGe PMOS半导体器件的制作方法
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
CN111627807B (zh) * 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07307328A (ja) * 1994-05-12 1995-11-21 Fujitsu Ltd パターン形成方法
JP2007507091A (ja) * 2003-08-22 2007-03-22 マイクロン・テクノロジー・インコーポレーテッド マスキング方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021034483A (ja) * 2019-08-21 2021-03-01 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP7190988B2 (ja) 2019-08-21 2022-12-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
WO2023203591A1 (ja) * 2022-04-18 2023-10-26 株式会社日立ハイテク プラズマ処理方法

Also Published As

Publication number Publication date
TW201802931A (zh) 2018-01-16
TW201838033A (zh) 2018-10-16
KR20170125009A (ko) 2017-11-13
CN107438892A (zh) 2017-12-05
CN111627807B (zh) 2023-08-29
KR101990332B1 (ko) 2019-06-18
KR102329531B1 (ko) 2021-11-23
WO2017154407A1 (ja) 2017-09-14
CN107438892B (zh) 2021-08-24
TWI685034B (zh) 2020-02-11
KR20180063383A (ko) 2018-06-11
KR102262750B1 (ko) 2021-06-10
JP6734973B2 (ja) 2020-08-05
CN111627807A (zh) 2020-09-04
JP6458156B2 (ja) 2019-01-23
KR20190119176A (ko) 2019-10-21
JP6580215B2 (ja) 2019-09-25
TWI638406B (zh) 2018-10-11
JPWO2017154407A1 (ja) 2018-03-15
US20180068862A1 (en) 2018-03-08
US10157750B2 (en) 2018-12-18
JP2019186572A (ja) 2019-10-24

Similar Documents

Publication Publication Date Title
JP6580215B2 (ja) プラズマ処理方法
US10600639B2 (en) SiN spacer profile patterning
TWI778793B (zh) 用於高深寬比結構之移除方法
TWI508164B (zh) Manufacturing method of semiconductor device
US9230824B2 (en) Method of manufacturing semiconductor device
US20220051904A1 (en) Etching method
US20220181162A1 (en) Etching apparatus
TW201411718A (zh) 電漿蝕刻方法
JP2011049360A (ja) プラズマエッチング方法
US9543164B2 (en) Etching method
EP3624171B1 (en) Etching method
JP7054759B2 (ja) プラズマ処理方法
US8975190B2 (en) Plasma processing method
US20230094212A1 (en) Plasma etch process for fabricating high aspect ratio (har) features
JP6226668B2 (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180625

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190320

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190712

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190827

R150 Certificate of patent or registration of utility model

Ref document number: 6580215

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350