TW201802931A - 電漿處理方法及電漿處理裝置 - Google Patents

電漿處理方法及電漿處理裝置 Download PDF

Info

Publication number
TW201802931A
TW201802931A TW106110331A TW106110331A TW201802931A TW 201802931 A TW201802931 A TW 201802931A TW 106110331 A TW106110331 A TW 106110331A TW 106110331 A TW106110331 A TW 106110331A TW 201802931 A TW201802931 A TW 201802931A
Authority
TW
Taiwan
Prior art keywords
gas
plasma
film
amorphous carbon
fluorine
Prior art date
Application number
TW106110331A
Other languages
English (en)
Other versions
TWI638406B (zh
Inventor
寺倉聡志
森政士
荒瀬高男
岩瀬拓
Original Assignee
日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日立全球先端科技股份有限公司 filed Critical 日立全球先端科技股份有限公司
Publication of TW201802931A publication Critical patent/TW201802931A/zh
Application granted granted Critical
Publication of TWI638406B publication Critical patent/TWI638406B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本發明,係提供一種電漿處理方法及其處理裝置,對使用含有硼的非晶碳膜的積層膜進行蝕刻時,實現高選擇比、高蝕刻率使得可進行貫徹加工,使遮罩成膜程序精簡化使得實現包含前後程序的高產量化,並更具有垂直加工的形狀控制性。本發明,係一種電漿處理方法,對具有含有硼的非晶碳膜的積層膜進行電漿蝕刻從而形成遮罩,其中使用氧氣、含氟氣體、鹵素氣體、四氟化矽氣體的混合氣體或氧氣、含氟氣體、鹵素氣體、四氯化矽氣體的混合氣體而對前述含有硼的非晶碳膜進行電漿蝕刻。

Description

電漿處理方法及電漿處理裝置
本發明,係有關使用與半導體製造相關的電漿而處理樣品的電漿處理方法及其裝置。
於具有3維構造的快閃記憶體(3D-NAND等)的閘極製造程序,係隨著裝置的大容量化,如揭露於專利文獻1般多晶矽膜與氧化矽膜的對層總數增加至48層、72層、96層、120層,電漿蝕刻加工的高縱橫化正在進展。
自歷來,蝕刻此等積層膜時,係被圖案化的光阻膜(PR)、抗反射膜(Bottom Anti-Reflection Coat:BARC)、非晶碳膜(Amorphous Carbon Layer:ACL,以下稱為ACL膜)的積層膜被用作為蝕刻遮罩,惟隨著高縱橫化,非晶碳膜的遮罩的厚度不足逐漸表露化。
為此,逐漸採用:於ACL膜摻雜(含有)硼元素(B),使抗電漿性提升的含有硼的B摻雜ACL膜。蝕刻歷來的ACL膜時,係以氧為主體,添加COS、氮等 或使用以氬、氦等稀釋的電漿而將抗蝕層的圖案轉印於ACL膜,惟以此氣體系統蝕刻含有10至40%程度的硼的B摻雜ACL膜為困難。
為了解決此問題,於專利文獻2,係揭露一種方法,其係使晶圓台的溫度為100℃以上從而使硼的反應生成物的揮發性增加而進行蝕刻,而於專利文獻3,係已揭露一種方法,其係使用CH4、Cl2、SF6、O2的混合氣體作成氟化硼,從而使反應生成物揮發性增加同時以Cl2、CH4控制加工垂直性,從而以10~30℃的常溫進行蝕刻。
〔先前技術文獻〕 〔專利文獻〕
專利文獻1:日本專利特開2015-144158號公報
專利文獻2:日本專利特開2014-007370號公報
專利文獻3:US2015/0064914 A1
在揭露於專利文獻2的方法,係蝕刻PR/BARC/SiON/B摻雜ACL的遮罩構造的樣品時,光阻膜為高溫,故碳化使得圖案損傷。此外,於下層的矽/氧化矽膜的對層的蝕刻時係需要以50℃以下的低溫側進行蝕刻,故無法進行透過相同腔室下的從遮罩至基底膜為止的蝕刻(所謂的貫徹處理)。即便可進行貫徹處理,溫度等 待時間長而發生蝕刻處理的產量降低如此的問題。
此外,在揭露於專利文獻3的方法,係比歷來的ACL膜的蝕刻時,對氮氧化矽膜選擇比小,故使用歷來的ACL膜蝕刻時需要的30-40nm厚度的氮氧化矽膜的情況下,發生如下問題:蝕刻無法到達下層的SiO2膜,或者蝕刻進行中,發生從氮氧化矽膜的開口部附近逐漸後退的皺化。
為了迴避該等問題,雖不得不採用使氮氧化矽膜增厚等方法,惟發生如下問題:在遮罩成膜程序的產量大幅降低,更使擁有成本(Cost of Owership)大幅增加。
再者,可依與上下層膜的組合,酌情變更硼濃度、使用的台溫度之中心值等,故B摻雜ACL膜的蝕刻時,係需要具有可消解其他形狀異常(側蝕、內凹、蝕刻中止)的形狀控制性,可實現接近垂直的蝕刻加工。
以上,本發明,係目的在於提供一種電漿處理方法及其處理裝置,對使用含有硼的非晶碳膜的積層膜進行蝕刻時,實現高選擇比、高蝕刻率使得可進行貫徹加工,使遮罩成膜程序精簡化使得實現包含前後程序的高產量化,並更具有垂直加工的形狀控制性。
本發明,係一種電漿處理方法,對具有含有硼的非晶碳膜的積層膜進行電漿蝕刻從而形成遮罩,其中 使用氧氣、含氟氣體、鹵素氣體、四氟化矽氣體的混合氣體或氧氣、含氟氣體、鹵素氣體、四氯化矽氣體的混合氣體而對前述含有硼的非晶碳膜進行電漿蝕刻。
此外,本發明,係一種電漿處理裝置,具備樣品被電漿處理的處理室、和供應供於在前述處理室內生成電漿用的高頻電力的高頻電源,其中進一步具備將第一氣體與作為往前述第一氣體混合的氣體的第二氣體經由複數個區域而往前述處理室內供應的氣體供應機構。
透過本發明能以無機膜為遮罩而以高蝕刻率且高選擇比蝕刻含有硼的非晶碳膜。
101‧‧‧真空容器
102‧‧‧晶圓
103‧‧‧樣品台
104‧‧‧第一整合器
105‧‧‧偏壓施加用的高頻電源
106‧‧‧直流電源
107‧‧‧調溫單元
108‧‧‧天線
109‧‧‧第二整合器
110‧‧‧電漿生成用的高頻電源
111‧‧‧螺線管線圈
112‧‧‧噴灑板
113‧‧‧內側氣體供應路徑
114‧‧‧外側氣體供應路徑
115‧‧‧主氣體系統
116‧‧‧內側添加氣體系統
117‧‧‧外側添加氣體系統
118‧‧‧流量控制器A
119‧‧‧流量控制器B
120‧‧‧流量控制器C
121a‧‧‧流量控制器D
121b‧‧‧流量控制器D
121c‧‧‧流量控制器D
122a‧‧‧流量控制器E
122b‧‧‧流量控制器E
123‧‧‧停止閥
124‧‧‧氣體分流器
201‧‧‧氧化矽膜與多晶矽膜的對層
202‧‧‧B摻雜ACL膜
203‧‧‧氮氧化矽膜
204‧‧‧抗反射膜
205‧‧‧光阻膜
301‧‧‧側蝕
302‧‧‧內凹
303‧‧‧蝕刻中止
304‧‧‧皺化
〔圖1〕本發明相關的平行平板型的有磁場VHF乾式蝕刻裝置的縱剖面圖。
〔圖2〕針對本發明的電漿處理方法的一實施例中的蝕刻形狀進行繪示的圖。
〔圖3〕示出形狀異常的蝕刻剖面的示意圖。
〔圖4〕針對本發明中的相對於B摻雜ACL蝕刻率及遮罩選擇比的偏壓電力依存性進行繪示的圖。
〔圖5〕針對本發明中的相對於B摻雜ACL蝕刻率及遮罩選擇比的壓力依存性進行繪示的圖。
以下,透過圖1~圖5說明本發明的實施例。圖1係作為本發明的電漿處理裝置的一例的平行平板型的有磁場VHF乾式蝕刻裝置的縱剖面圖。
在具有圓筒狀的內部空間並作為處理室的真空容器101內的下部,係設有在上表面載置作為樣品的晶圓102的樣品台103。在樣品台103係經由第一整合器104而連接偏壓施加用的高頻電源105及晶圓靜電吸附用的直流電源106。此外,在樣品台103係連接調溫單元107。高頻電源105,係此情況下,使用頻率4MHz。
此外,在真空容器101內的下部,係設置排氣口,於此排氣口連接省略圖示的排氣裝置。在真空容器101內之上部,係對向於樣品台103的晶圓載置面而設置平板狀的天線108並經由第二整合器109而連接電漿生成用的高頻電源110。高頻電源110,於本實施例係使用頻率200MHz的VHF波。此外,在真空容器1外部之上表面及外周,係設有在真空容器101內形成磁場的螺線管線圈111。螺線管線圈111,係形成在真空容器101的軸方向朝向樣品台103的發散磁場。
在對向於樣品台103的天線108的下表面係設置具有多數個氣體供應孔的噴灑板112,在天線108與噴灑板112之間,形成內側空間與包圍內側空間的外側空間,於各空間連通氣體供應孔。於內側空間係設置內側氣 體供應路徑113,於外側空間係設置外側氣體供應路徑114。於內側氣體供應路徑113係混合主氣體系統115與內側添加氣體系統116而連接,於外側氣體供應路徑114係混合主氣體系統115與外側添加氣體系統117而連接。
主氣體系統115,係是由氧、含氟氣體、鹵素氣體、惰性氣體所成的混合氣體的第一氣體的氣體系統,於本實施例,含氟氣體係CHF3,鹵素氣體係Cl2,惰性氣體係N2。主氣體系統115,係由以下所成:控制氣源O2的流量的流量控制器A118、控制氣源CHF3的流量的流量控制器B119、控制氣源Cl2流量的流量控制器C120、控制氣源N2的流量的流量控制器D121a、分別連接於此等流量控制器118~121a的停止閥123、和被供應經由各停止閥123而混合的氣體的氣體分流器124。
內側添加氣體系統116及外側添加氣體系統117,係是由含矽氣體(四氯化矽氣體或四氟化矽氣體)與惰性氣體所成的混合氣體的第二氣體的氣體系統,於本實施例,含矽氣體係SiCl4,惰性氣體係N2。內側添加氣體系統116,係由控制氣源N2的流量的流量控制器D121b、控制氣源SiCl4的流量的流量控制器E122a、和分別連接於此等流量控制器121b及122a的停止閥123所成,供應經由各停止閥123而混合的氣體。外側添加氣體系統117,係由控制氣源N2的流量的流量控制器D121c、控制氣源SiCl4的流量的流量控制器E122b、和分別連接於此等流量控制器D121c及122b的停止閥123所成,供 應經由各停止閥123而混合的氣體。
透過流量控制器118~121a將來自各氣體源的氣流量調整為各設定流量的各氣體,係被透過氣體流量分流器115而以既定的比率分流而流至內側氣體供應路徑113與外側氣體供應路徑114。透過流量控制器121b及122a將來自各氣體源的氣流量調整為各設定流量的各氣體,係被與透過氣體流量分流器115而往內側氣體供應路徑113分流的氣體進行混合而供應至噴灑板112的內側空間,經由氣體供應孔而供應至真空容器101之中央側。
透過流量控制器121c及122b將來自各氣體源的氣流量調整為各設定流量的各氣體,係被與透過氣體流量分流器115而往外側氣體供應路徑114分流的氣體進行混合而供應至噴灑板112的外側空間,經由氣體供應孔而供應至包圍真空容器101之中央部的外側。
亦即,主氣體係透過氣體流量分流器124配合噴灑板的被分離的內外區域以既定的比率分割,使被分別流量調整的添加氣體合流於以既定比率而分割的各主氣體,而供應至噴灑板的內外區域。藉此,可於真空容器101內的噴灑板112下方半徑方向,獲得任意調整各氣體成分下的面內分布。
另外,在本實施例係將噴灑板112內的氣體溜留空間分離為內外的2區域,惟3區域以上亦可,此外亦可於圓周方向分離複數個,配合所分離的數量而設定氣體流量分流器124的分割數,設置相同氣體種的添加氣體 系統的數量即可。
此外,如此的氣體區域分割的效果,係噴灑板112與樣品台103的距離越窄越有效果。
透過如上述般構成的裝置而如下述般進行處理。
透過圖示省略的搬送裝置使得被搬入至真空容器101內的晶圓102被配置於樣品台103上,從直流電源106的電壓施加使得晶圓102被靜電吸附於樣品台103上。對被吸附/保持於樣品台103的晶圓102的背面係供應作為傳熱氣體的H2氣體,與被透過調溫單元107而調溫的樣品台103之間進行傳熱使得晶圓102被維持為既定的處理溫度。
晶圓102被保持於樣品台103後,對於真空容器101內,從主氣體系統115、內側添加氣體系統116及外側添加氣體系統117對內側氣體供應路徑113及外側氣體供應路徑114分別供應是被流量控制的處理氣體的O2、CHF3、Cl2、SiCl4、N2的混合氣體。所供應的混合氣體,係經由噴灑板112而供應至真空容器內,在真空容器101內被維持為既定的處理壓力,與來自高頻電源110的200MHz的高頻電力一起由於來自螺線管線圈111的磁場的作用使得混合氣體被激發而電漿化。
生成電漿後,係透過高頻電源105對樣品台103供應偏壓用的高頻電力。藉此電漿中的離子被入射於晶圓2,進行晶圓102的蝕刻處理。此時,以半徑方向任 意調整供應至真空容器101內的各氣體成分,於電漿中係分布依該面內分布而解離的氣體成分的自由基、離子等,並以均勻作用於晶圓102面內的蝕刻處理的方式進行調整。
於此,作為被蝕刻材的晶圓102,係具有示於圖2(a)的積層膜。在晶圓基板上係設置對層201,於本實施例係設置交替積層氧化矽膜(SiO2)與多晶矽膜(Poly-Si)的積層膜,於對層201上依序設有ACL膜(B摻雜ACL膜)202、氮氧化矽膜(SiON)203、抗反射膜(BARC)204、和光阻膜(PR)205。
接著說明有關上述構造的膜的蝕刻處理。首先,如示於圖2(b),以使電路圖案被曝光的光阻膜205作為遮罩而將抗反射膜204、氮氧化矽膜203透過蝕刻轉印圖案。在此氮氧化矽膜203的蝕刻處理,係使用CHF3氣體與SF6氣體的混合氣體。此外,此時亦可加入將光阻膜205及抗反射膜204除去的處理。接著如示於圖2(c)般以氮氧化矽膜203為遮罩對B摻雜ACL202進行蝕刻。
在本發明,係為了以氮氧化矽膜203為遮罩對ACL膜202中的硼進行蝕刻,除了歷來作為ACL的蝕刻劑的氧,使用含氟氣體、鹵素氣體、四氯化矽氣體(SiCl4)或四氟化矽氣體(SiF4)的混合氣體。
此時,相對於氣體整體的鹵素氣體的比例,係依含於B摻雜ACL202的硼的含有量(例如5-70%)與 調溫單元107的設定溫度而酌情設定。在本實施例,係對55%的硼濃度的B摻雜ACL膜進行蝕刻的情況下,使調溫單元107的溫度為50℃,使用O2氣體、CHF3氣體、Cl2氣體、SiCl4氣體的混合氣體。此情況下,各氣體種的傾向,係成為如下。
首先,CHF3的比例相對於氣體整體的流量為高時氟自由基的供應會過剩,硼係比原本與碳的反應亦被促進,如示於圖3(a)般於B摻雜ACL膜202發生側蝕301、內凹302等。此外,CHF3的比例低時蝕刻不進展,而如示於圖3(b)般成為蝕刻中止303。並且,添加Cl2,酌情控制與CHF3的氣體比使得可使側蝕抑制與高蝕刻率同時成立。
此外,一般而言樣品台103的溫度,係為了於B摻雜ACL膜之上方的膜至下方的膜為止的貫徹處理中維持高產量,優選上設定為在B摻雜ACL膜之上方的膜至下方的膜為止的蝕刻方面適合的各溫度的差為±10℃以內(步驟間的變化率1℃/s的情況下,溫度變化等待時間10s以內)。此外,一般而言依B摻雜ACL膜之上方及下方的層的材質變更而使樣品台103的溫度變化。
因此,B摻雜ACL膜之上方的膜至下方的膜為止進行貫徹處理時,依需求使樣品台103的溫度提高,CHF3的流量比係在各膜予以降低、增加下維持高蝕刻率,並且控制相對於CHF3氣體的Cl2氣體比率使得可使高蝕刻率與垂直性同時成立。反之降低樣品台103的溫度 的情況下,係溫度降低使得氟自由基的反應機率減低因而可使側蝕減低,故相對於CHF3氣體的Cl2氣體比率係予以降低即可。亦即,亦可透過調整樣品台103的溫度從而進行內凹形狀、錐狀的角度等的調整。
雖可將相對於CHF3氣體的Cl2氣體比率設定為高從而獲得各向異性高的形狀,惟進一步透過使用高偏壓電力、高壓力區域使得可進行與歷來的ACL蝕刻同等以上的高速率的處理。此外,高偏壓化,係具有反應生成物的增加所致的側壁保護增加的效果,具有抑制成為側蝕、內凹形狀等的效果。再者如示於圖4般B摻雜ACL膜的蝕刻率401,係於偏壓電力為1000W以下的區域中隨著偏壓電力的增加而大幅增加,惟1000W以上的區域係緩慢增加。
此外,遮罩選擇比402,係隨著偏壓電力的增加而緩慢減少,惟減少幅度小。亦即,要以高蝕刻率處理B摻雜ACL膜,係優選上使偏壓電源的電力設定為1000W以上。此時,在4MHz的1000W,係正弦波的峰值間電壓的Vpp為1350V,故偏壓頻率不同的情況下,係可透過成為此Vpp以上的電源電力或直接控制Vpp從而調整。
再者高壓化,係自由基通量增加,電漿密度降低,故如示於圖5般隨著高壓化,B摻雜ACL膜的蝕刻率501係增加,選擇比亦增加。選擇比502,係在壓力4Pa以上的區域為飽和,故優選上使壓力設定為4Pa以 上。
並且,在本發明,係添加四氯化矽氣體或四氟化矽氣體使得氧化矽化合物被堆積於作為遮罩的氮氧化矽膜203,氮氧化矽膜203的蝕刻量減少,故可使選擇比增加。此外,遮罩的殘量增加,故可使遮罩的後退減少,可如示於圖3(c)般抑制形狀之上部的皺化304,使遮罩膜厚例如為30-40nm等歷來的ACL蝕刻處理程度的厚度。藉此可迴避遮罩成膜程序的複雜化,可使產量、擁有成本提升。
如以上般可透過相對於Cl2氣體的CHF3氣體的比與偏壓電力而進行垂直性的調整,故晶圓台係100℃以下即可。然而,要將B摻雜ACL膜之上方及下方的積層膜以1腔室進行貫徹加工而維持高產量,係優選上採0℃以上、60℃以下。
此外,在本實施例雖在含氟氣體方面使用CHF3氣體,惟除此之外亦可使用CH2F2氣體、CH3F氣體、NF3氣體、CF4氣體、SF6氣體。此外,除Cl2氣體以外亦可使用Br含有氣體的HBr氣體、HI氣體。再者除SiCl4氣體以外亦可使用SiF4氣體。例如,使用透過CHF3氣體予以產生多量的氟的NF3氣體、CF4氣體、SF6氣體等的情況下,比CHF3氣體的使用時使氟系氣體比降低或使樣品台103的設定溫度降低使得可維持垂直形狀。
再者在本實施例,係使用氧氣、含氟氣體、鹵素氣體、四氯化矽氣體的混合氣體或氧氣、含氟氣體、 鹵素氣體、四氟化矽氣體的混合氣體而對含有硼的非晶碳膜進行電漿蝕刻之例,惟將氧氣、含氟氣體、鹵素氣體、四氯化矽氣體的混合氣體或氧氣、含氟氣體、鹵素氣體、四氟化矽氣體的混合氣體以N2氣體、Ar氣體、He氣體、Xe氣體、Kr氣體等的惰性氣體而稀釋仍可發揮與實施例同等的效果。
此外,使用HBr氣體的情況下,係反應性比Cl2氣體低,故能以比Cl2氣體小的流量進行調整。使用SiF4氣體時,係為氧化膜的前驅體同時亦為氟元素的供應源,故一面降低氟系氣體的量,一面酌情調整流量使得可實現高選擇比。
此外,在本實施例,係是含有硼的非晶碳膜的B摻雜ACL膜的遮罩形成例,惟將本發明相關的乾式蝕刻應用於不含有硼的非晶碳膜亦可獲得與本實施例同等的效果。亦即,將本發明相關的乾式蝕刻應用於歷來的非晶碳膜仍可獲得與本實施例同等的效果。
原因在於,不含有硼的非晶碳膜的主成分為與含有硼的非晶碳膜的主成分相同的有機材,添加四氯化矽氣體或四氟化矽氣體使得氧化矽化合物被堆積於作為遮罩的氮氧化矽膜203,氮氧化矽膜203的蝕刻量減少從而使選擇比提升。
再者要實施本發明相關的乾式蝕刻方法,係需要具備上述的氣體種類,且可施加3000W程度的偏壓,在4Pa以上的高壓力下均勻的蝕刻裝置。在本實施 例,係雖使用示於圖1的平行平板構造的VHF蝕刻裝置,惟於使用其他電容耦合電漿(CCP)、電感耦合電漿(ICP)、微波電子迴旋共振(μ波ECR)等的其他電漿源的電漿蝕刻裝置,亦可透過調整壓力、氣體流量、氣體比、偏壓電力、頻率、台溫度等從而獲得與本實施例同樣的效果。
以上,依本發明,使得能以無機膜為遮罩對非晶碳膜以高蝕刻率且高選擇比進行蝕刻,故可進行包含前後程序的貫徹蝕刻處理,使得可實現將遮罩成膜程序精簡化所致的包含前後程序的高產量化。
201‧‧‧氧化矽膜與多晶矽膜的對層
202‧‧‧B摻雜ACL膜
203‧‧‧氮氧化矽膜
204‧‧‧抗反射膜
205‧‧‧光阻膜

Claims (9)

  1. 一種電漿處理方法,對具有含有硼的非晶碳膜的積層膜進行電漿蝕刻從而形成遮罩,特徵在於:使用氧氣、含氟氣體、鹵素氣體、四氟化矽氣體的混合氣體或氧氣、含氟氣體、鹵素氣體、四氯化矽氣體的混合氣體而對前述含有硼的非晶碳膜進行電漿蝕刻。
  2. 如申請專利範圍第1項之電漿處理方法,其中,前述含氟氣體,係CHF3氣體、CH2F2氣體、CH3F氣體、NF3氣體、CF4氣體或SF6氣體,前述鹵素氣體,係Cl2氣體、HBr氣體或HI氣體。
  3. 如申請專利範圍第1項之電漿處理方法,其中,相對於前述混合氣體的前述鹵素氣體的流量比率,係比相對於前述混合氣體的前述含氟氣體的流量比率高。
  4. 如申請專利範圍第1項之電漿處理方法,其中,對載置被形成前述積層膜的樣品的樣品台供應1000W以上的高頻電力或對前述樣品台施加1350V以上的峰值間高頻電壓從而對前述含有硼的非晶碳膜進行電漿蝕刻。
  5. 如申請專利範圍第1至4項中任一項之電漿處理方法,其中,使壓力為4Pa以上而對前述含有硼的非晶碳膜進行電漿蝕刻。
  6. 如申請專利範圍第1項之電漿處理方法,其中,使用O2氣體、CHF3氣體、Cl2氣體、SiCl4氣體的混合氣體而對前述含有硼的非晶碳膜進行電漿蝕刻。
  7. 一種電漿處理方法,對具有非晶碳膜的積層膜進行電漿蝕刻從而形成遮罩,特徵在於:使用氧氣、含氟氣體、鹵素氣體、四氟化矽氣體的混合氣體或氧氣、含氟氣體、鹵素氣體、四氯化矽氣體的混合氣體而對前述非晶碳膜進行電漿蝕刻。
  8. 一種電漿處理裝置,具備樣品被電漿處理的處理室、和供應供於在前述處理室內生成電漿用的高頻電力的高頻電源,特徵在於:進一步具備將第一氣體與作為往前述第一氣體混合的氣體的第二氣體經由複數個區域而往前述處理室內供應的氣體供應機構。
  9. 如申請專利範圍第8項之電漿處理裝置,其中,前述氣體供應機構,係具有將混合複數個氣體的第一氣體往前述複數個區域的各者供應的第一氣體配管、和連接於前述第一氣體配管且前述第二氣體流通的第二氣體配管。
TW106110331A 2016-03-28 2017-03-28 電漿處理方法 TWI638406B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016062977 2016-03-28
JP2016-062977 2016-03-28
PCT/JP2017/003258 WO2017154407A1 (ja) 2016-03-28 2017-01-31 プラズマ処理方法およびプラズマ処理装置
??PCT/JP2017/003258 2017-01-31

Publications (2)

Publication Number Publication Date
TW201802931A true TW201802931A (zh) 2018-01-16
TWI638406B TWI638406B (zh) 2018-10-11

Family

ID=59790197

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106110331A TWI638406B (zh) 2016-03-28 2017-03-28 電漿處理方法
TW107121935A TWI685034B (zh) 2016-03-28 2017-03-28 電漿處理方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107121935A TWI685034B (zh) 2016-03-28 2017-03-28 電漿處理方法

Country Status (6)

Country Link
US (1) US10157750B2 (zh)
JP (3) JP6458156B2 (zh)
KR (3) KR102329531B1 (zh)
CN (2) CN111627807B (zh)
TW (2) TWI638406B (zh)
WO (1) WO2017154407A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718597B (zh) * 2018-08-06 2021-02-11 日商日立全球先端科技股份有限公司 電漿處理方法及電漿灰化裝置
US11875978B2 (en) 2020-06-16 2024-01-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN111627807B (zh) * 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
WO2019235398A1 (ja) * 2018-06-04 2019-12-12 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN110571138A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR102419373B1 (ko) * 2019-04-19 2022-07-12 주식회사 히타치하이테크 플라스마 처리 방법
CN112119485B (zh) * 2019-04-22 2024-01-02 株式会社日立高新技术 等离子处理方法
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7190988B2 (ja) * 2019-08-21 2022-12-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102500552B1 (ko) * 2019-09-13 2023-02-17 주식회사 히타치하이테크 반도체 장치의 제조 방법 및 플라스마 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2021141153A (ja) 2020-03-04 2021-09-16 キオクシア株式会社 パターン形成方法及び半導体装置の製造方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JPWO2023203591A1 (zh) * 2022-04-18 2023-10-26

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP3438313B2 (ja) * 1994-05-12 2003-08-18 富士通株式会社 パターン形成方法
US6007733A (en) * 1998-05-29 1999-12-28 Taiwan Semiconductor Manufacturing Company Hard masking method for forming oxygen containing plasma etchable layer
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
KR100898590B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2009088522A (ja) * 2007-09-28 2009-04-23 Hynix Semiconductor Inc 半導体装置のリセスゲート製造方法
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP2011228436A (ja) * 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP5644401B2 (ja) * 2010-11-15 2014-12-24 株式会社Sumco エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ
CN102412139A (zh) * 2011-05-23 2012-04-11 上海华力微电子有限公司 一种无定形碳硬掩模的等离子体刻蚀方法
JP2014007370A (ja) 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
CN103515197A (zh) * 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
FR3002689B1 (fr) * 2013-02-25 2016-10-28 Commissariat Energie Atomique Procede de gravure autolimitant a niveaux multiples
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9287124B2 (en) 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
JP6277004B2 (ja) * 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
CN103928339A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 SiGe PMOS半导体器件的制作方法
US9390923B2 (en) * 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
CN111627807B (zh) * 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718597B (zh) * 2018-08-06 2021-02-11 日商日立全球先端科技股份有限公司 電漿處理方法及電漿灰化裝置
US11456183B2 (en) 2018-08-06 2022-09-27 Hitachi High-Tech Corporation Plasma processing method and plasma ashing apparatus
US11875978B2 (en) 2020-06-16 2024-01-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
TW201838033A (zh) 2018-10-16
JP2018160689A (ja) 2018-10-11
KR20170125009A (ko) 2017-11-13
CN107438892A (zh) 2017-12-05
CN111627807B (zh) 2023-08-29
KR101990332B1 (ko) 2019-06-18
KR102329531B1 (ko) 2021-11-23
WO2017154407A1 (ja) 2017-09-14
CN107438892B (zh) 2021-08-24
TWI685034B (zh) 2020-02-11
KR20180063383A (ko) 2018-06-11
KR102262750B1 (ko) 2021-06-10
JP6734973B2 (ja) 2020-08-05
CN111627807A (zh) 2020-09-04
JP6458156B2 (ja) 2019-01-23
KR20190119176A (ko) 2019-10-21
JP6580215B2 (ja) 2019-09-25
TWI638406B (zh) 2018-10-11
JPWO2017154407A1 (ja) 2018-03-15
US20180068862A1 (en) 2018-03-08
US10157750B2 (en) 2018-12-18
JP2019186572A (ja) 2019-10-24

Similar Documents

Publication Publication Date Title
TWI638406B (zh) 電漿處理方法
US10658181B2 (en) Method of spacer-defined direct patterning in semiconductor fabrication
TWI508164B (zh) Manufacturing method of semiconductor device
US9117769B2 (en) Plasma etching method
TW201810370A (zh) 有機芯模保護程序
TW201517168A (zh) 橫向修整硬遮罩的方法
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20110049098A1 (en) Plasma etching method
US20090057266A1 (en) Line edge roughness control
US9543164B2 (en) Etching method
US11276560B2 (en) Spacer etching process
TW202117847A (zh) 使用沉積製程和蝕刻製程的工件處理
US9280051B2 (en) Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
KR20210001962A (ko) 기판 처리 방법 및 기판 처리 장치
US20030153193A1 (en) Etching method
TWI533354B (zh) Organic layer etching method
JP7467708B2 (ja) 基板処理装置
US20240112919A1 (en) Low-Temperature Etch
JP2024506838A (ja) 周期的プラズマエッチングプロセス
WO2021231456A1 (en) Method for dry etching silicon carbide films for resist underlayer applications
TW201332018A (zh) 有機物層刻蝕方法