KR102262750B1 - 플라스마 처리 방법 및 플라스마 처리 장치 - Google Patents

플라스마 처리 방법 및 플라스마 처리 장치 Download PDF

Info

Publication number
KR102262750B1
KR102262750B1 KR1020187015807A KR20187015807A KR102262750B1 KR 102262750 B1 KR102262750 B1 KR 102262750B1 KR 1020187015807 A KR1020187015807 A KR 1020187015807A KR 20187015807 A KR20187015807 A KR 20187015807A KR 102262750 B1 KR102262750 B1 KR 102262750B1
Authority
KR
South Korea
Prior art keywords
gas
film
plasma
etching
amorphous carbon
Prior art date
Application number
KR1020187015807A
Other languages
English (en)
Other versions
KR20180063383A (ko
Inventor
사토시 데라쿠라
마사히토 모리
다카오 아라세
다쿠 이와세
Original Assignee
주식회사 히타치하이테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 히타치하이테크 filed Critical 주식회사 히타치하이테크
Priority to KR1020197029860A priority Critical patent/KR102329531B1/ko
Publication of KR20180063383A publication Critical patent/KR20180063383A/ko
Application granted granted Critical
Publication of KR102262750B1 publication Critical patent/KR102262750B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

본 발명은, 붕소가 함유된 어모퍼스 카본막을 사용한 적층막을 에칭할 때, 고선택비, 고에칭 레이트를 실현함으로써 일관 가공을 가능하게 하고, 마스크 성막 공정을 간소화함에 의해 전후 공정 포함한 고스루풋화를 실현하고, 또한, 수직 가공의 형상 제어성을 갖는 플라스마 처리 방법, 및 그 처리 장치를 제공하다.
본 발명은, 붕소가 함유된 어모퍼스 카본막을 갖는 적층막을 플라스마 에칭함에 의해 마스크를 형성하는 플라스마 처리 방법에 있어서, 산소 가스와 불소 함유 가스와 할로겐 가스와 사불화실리콘 가스의 혼합 가스 또는 산소 가스와 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스의 혼합 가스를 사용해서 상기 붕소가 함유된 어모퍼스 카본막을 플라스마 에칭하는 것을 특징으로 한다.

Description

플라스마 처리 방법 및 플라스마 처리 장치{PLASMA TREATMENT METHOD AND PLASMA TREATMENT DEVICE}
본 발명은, 반도체 제조에 관계되는 플라스마를 사용해서 시료를 처리하는 플라스마 처리 방법, 및 그 장치에 관한 것이다.
3차원 구조를 갖는 플래시 메모리(3D-NAND 등)의 게이트 제조 공정에 있어서는, 디바이스의 대용량화에 수반해서, 특허문헌 1에 개시되어 있는 바와 같이 폴리 실리콘막과 실리콘산화막의 페어층 총수가 48층, 72층, 96층, 120층으로 증가해 오고 있으며, 플라스마 에칭 가공의 고(高)애스펙트화가 진행되고 있다.
종래로부터, 이들 적층막을 에칭할 때는, 패터닝된 포토레지스트막(PR)과 반사 방지막(Bottom Anti-Reflection Coat:BARC)과 어모퍼스 카본막(Amorphous Carbon Layer:ACL, 이하, ACL막이라 함)의 적층막이 에칭 마스크로 해서 사용되어 왔지만, 고애스펙트화에 수반해서, 어모퍼스 카본막의 마스크의 두께 부족이 현재화해 왔다.
그 때문에, ACL막에 붕소 원소(B)를 도프(함유)하여, 내(耐)플라스마성을 향상시킨 붕소를 함유하는 B-Doped ACL막이 채용되어 오고 있다. 종래의 ACL막을 에칭할 때는, 산소를 주체로 하여, COS나 질소를 첨가, 또는, 아르곤이나 헬륨으로 희석한 플라스마를 사용해서 레지스트의 패턴을 ACL막에 전사하고 있었지만, 10 내지 40% 정도의 붕소를 함유하는 B-Doped ACL막을 이 가스계로 에칭하는 것은 곤란했다.
이 문제를 해결하기 위해, 특허문헌 2에는, 웨이퍼 스테이지의 온도를 100℃ 이상으로 함에 의해 붕소의 반응 생성물의 휘발성을 증가시켜 에칭을 행하는 방법이 개시되고, 특허문헌 3에는, CH4, Cl2, SF6, O2의 혼합 가스를 사용해서 불화붕소로 함에 의해, 반응 생성물 휘발성을 증가시킴과 함께 Cl2, CH4로 가공 수직성을 제어함에 의해, 10~30℃의 상온에서 에칭하는 방법이 개시되어 있다.
일본국 특개2015-144158호 공보 일본국 특개2014-007370호 공보 US2015/0064914 A1
특허문헌 2에 개시된 방법에서는, PR/BARC/SiON/B-doped ACL의 마스크 구조의 샘플을 에칭할 때, 포토레지스트막이 고온이기 때문에, 탄화하여 패턴이 손상된다. 또한, 하층의 실리콘/실리콘산화막의 페어층의 에칭 시에는 50℃ 이하의 저온측에서 에칭할 필요가 있기 때문에, 동일 챔버에 의한 마스크로부터 하지막까지의 에칭(소위 일관(一貫) 처리)이 가능하지 않다. 가령 일관 처리가 가능했다고 해도, 온도 대기 시간이 길어 에칭 처리의 스루풋이 저하하거나 한다는 문제가 생겼다.
또한, 특허문헌 3에 개시된 방법에서는, 종래의 ACL막의 에칭시보다 대(對) 실리콘산질화막 선택비가 작기 때문에, 종래의 ACL막 에칭 시에 필요로 된 30-40㎚ 두께의 실리콘산질화막을 사용했을 경우, 하층의 SiO2막까지 에칭이 도달할 수 없거나, 혹은, 에칭 진행 중, 실리콘산질화막의 개구부 근방에서부터 후퇴해 가서 파세팅이 발생하는 문제가 발생했다.
그들 문제를 회피하기 위해, 실리콘산질화막을 두껍게 하거나 하는 방법을 채용해야만 하지만, 마스크 성막 공정에서의 스루풋이 크게 저하하고, 나아가 CoO(Cost of Owership)를 크게 증가시키는 문제가 발생하고 있다.
또한, 상하층막과의 조합에 따라, 붕소 농도나 사용하는 스테이지 온도의 중심값을 적절히 변경할 가능성이 있기 때문에, B-Doped ACL막의 에칭 시에는, 기타 형상 이상(異常)(사이드 에칭, 보잉, 에칭 스톱)을 해소할 수 있는 형상 제어성을 갖고, 수직에 가까운 에칭 가공을 실현할 수 있을 필요가 있다.
이상, 본 발명은, 붕소가 함유된 어모퍼스 카본막을 사용한 적층막을 에칭할 때, 고선택비, 고에칭 레이트를 실현함으로써 일관 가공을 가능하게 하고, 마스크 성막 공정을 간소화함에 의해 전후 공정 포함한 고스루풋화를 실현하고, 또한, 수직 가공의 형상 제어성을 갖는 플라스마 처리 방법, 및 그 처리 장치를 제공하는 것을 목적으로 하는 것이다.
본 발명은, 붕소가 함유된 어모퍼스 카본막을 갖는 적층막을 플라스마 에칭함에 의해 마스크를 형성하는 플라스마 처리 방법에 있어서, 산소 가스와 불소 함유 가스와 할로겐 가스와 사불화실리콘 가스의 혼합 가스 또는 산소 가스와 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스의 혼합 가스를 사용해서 상기 붕소가 함유된 어모퍼스 카본막을 플라스마 에칭하는 것을 특징으로 한다.
또한, 본 발명은, 시료가 플라스마 처리되는 처리실과, 상기 처리실 내에 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원을 구비하는 플라스마 처리 장치에 있어서, 제1 가스와 상기 제1 가스에 혼합되는 가스인 제2 가스를 복수의 영역을 통해 상기 처리실 내에 공급하는 가스 공급 기구를 더 구비하는 것을 특징으로 한다.
본 발명에 의해 무기막을 마스크로 해서 붕소가 함유된 어모퍼스 카본막을 고에칭 레이트 또한 고선택비로 에칭을 할 수 있다.
도 1은 본 발명에 따른 평행 평판형의 유자장(有磁場) VHF 드라이 에칭 장치의 종단면도.
도 2는 본 발명의 플라스마 처리 방법의 일 실시예에 있어서의 에칭 형상을 나타내는 도면.
도 3은 형상 이상을 나타내는 에칭 단면의 모식도.
도 4는 본 발명에 있어서의 B-Doped ACL 에칭 레이트 및 마스크 선택비에 대한 바이어스 전력 의존성을 나타내는 도면.
도 5는 본 발명에 있어서의 B-Doped ACL 에칭 레이트 및 마스크 선택비에 대한 압력 의존성을 나타내는 도면.
이하, 본 발명의 실시예를 도 1 내지 도 5에 의해 설명한다. 도 1은 본 발명의 플라스마 처리 장치의 일례인 평행 평판형의 유자장 VHF 드라이 에칭 장치의 종단면도이다.
원통 형상의 내부 공간을 갖고 처리실인 진공 용기(101) 내의 하부에는, 상면에 시료인 웨이퍼(102)를 재치하는 시료대(103)가 설치되어 있다. 시료대(103)에는 제1 정합기(104)를 통해 바이어스 인가용의 고주파 전원(105) 및 웨이퍼 정전 흡착용의 직류 전원(106)이 접속되어 있다. 또한, 시료대(103)에는 온조(溫調) 유닛(107)이 접속되어 있다. 고주파 전원(105)은, 이 경우, 주파수 4㎒를 사용하고 있다.
또한, 진공 용기(101) 내의 하부에는, 배기구가 설치되고, 이 배기구에 도시를 생략한 배기 장치가 연결된다. 진공 용기(101) 내의 상부에는, 시료대(103)의 웨이퍼 재치면에 대향해서 평판상의 안테나(108)가 설치되고 제2 정합기(109)를 통해 플라스마 생성용의 고주파 전원(110)이 접속되어 있다. 고주파 전원(110)은, 본 실시예에 있어서 주파수 200㎒의 VHF파를 사용하고 있다. 또한, 진공 용기(1) 외부의 상면 및 외주에는, 진공 용기(101) 내에 자장을 형성하는 솔레노이드 코일(111)이 설치되어 있다. 솔레노이드 코일(111)은, 진공 용기(101)의 축 방향에서 시료대(103)를 향하는 발산 자장을 형성한다.
시료대(103)에 대향하는 안테나(108)의 하면에는 다수의 가스 공급 구멍을 갖는 샤워 플레이트(112)가 설치되고, 안테나(108)와 샤워 플레이트(112) 사이에, 내측 공간과 내측 공간을 둘러싸는 외측 공간이 형성되고, 각각의 공간에 가스 공급 구멍이 연결되어 있다. 내측 공간에는 내측 가스 공급로(113)가 설치되고, 외측 공간에는 외측 가스 공급로(114)가 설치되어 있다. 내측 가스 공급로(113)에는 메인 가스 계통(115)과 내측 첨가 가스 계통(116)을 혼합해서 접속하고, 외측 가스 공급로(114)에는 메인 가스 계통(115)과 외측 첨가 가스 계통(117)을 혼합해서 접속하고 있다.
메인 가스 계통(115)은, 산소와 불소 함유 가스와 할로겐 가스와 불활성 가스로 이루어지는 혼합 가스인 제1 가스의 가스 계통이고, 본 실시예에 있어서는, 불소 함유 가스는 CHF3이고, 할로겐 가스는 Cl2이고, 불활성 가스는 N2이다. 메인 가스 계통(115)은, 가스원 O2의 유량을 제어하는 유량 제어기A(118)와, 가스원 CHF3의 유량을 제어하는 유량 제어기B(119)와, 가스원 Cl2 유량을 제어하는 유량 제어기C(120)와, 가스원 N2의 유량을 제어하는 유량 제어기D(121a)와, 이들 유량 제어기(118 내지 121a)에 각각 접속한 스톱 밸브(123)와, 각각의 스톱 밸브(123)를 통해 혼합된 가스가 공급되는 가스 분류기(124)로 이루어진다.
내측 첨가 가스 계통(116) 및 외측 첨가 가스 계통(117)은, 실리콘 함유 가스(사염화실리콘 가스 또는 사불화실리콘 가스)와 불활성 가스로 이루어지는 혼합 가스인 제2 가스의 가스 계통이고, 본 실시예에 있어서는, 실리콘 함유 가스는 SiCl4이고, 불활성 가스는 N2이다. 내측 첨가 가스 계통(116)은, 가스원 N2의 유량을 제어하는 유량 제어기D(121b)와, 가스원 SiCl4의 유량을 제어하는 유량 제어기E(122a)와, 이들 유량 제어기(121b 및 122a)에 각각 접속한 스톱 밸브(123)로 이루어지고, 각각의 스톱 밸브(123)를 통해 혼합된 가스를 공급한다. 외측 첨가 가스 계통(117)은, 가스원 N2의 유량을 제어하는 유량 제어기D(121c)와, 가스원 SiCl4의 유량을 제어하는 유량 제어기E(122b)와, 이들 유량 제어기D(121c 및 122b)에 각각 접속한 스톱 밸브(123)로 이루어지고, 각각의 스톱 밸브(123)를 통해 혼합된 가스를 공급한다.
유량 제어기(118 내지 121a)에 의해 각 가스원으로부터의 가스 유량을 각 설정 유량으로 조정된 각 가스는, 가스 유량 분류기(115)에 의해 내측 가스 공급로(113)와 외측 가스 공급로(114)로 소정의 비율로 분류되어 흘려진다. 유량 제어기(121b 및 122a)에 의해 각 가스원으로부터의 가스 유량을 각 설정 유량으로 조정된 각 가스는, 가스 유량 분류기(115)에 의해 내측 가스 공급로(113)로 분류된 가스와 혼합되어 샤워 플레이트(112)의 내측 공간에 공급되며, 가스 공급 구멍을 통해 진공 용기(101)의 중앙측에 공급된다.
유량 제어기(121c 및 122b)에 의해 각 가스원으로부터의 가스 유량을 각 설정 유량으로 조정된 각 가스는, 가스 유량 분류기(115)에 의해 외측 가스 공급로(114)로 분류된 가스와 혼합되어 샤워 플레이트(112)의 외측 공간에 공급되며, 가스 공급 구멍을 통해 진공 용기(101)의 중앙부를 둘러싸는 외측에 공급된다.
즉, 메인 가스는 가스 유량 분류기(124)에 의해 샤워 플레이트의 분리된 내외 영역에 맞춰 소정의 비율로 분할하고, 각각 유량 조정한 첨가 가스를 소정 비율로 분할된 각 메인 가스에 합류시켜서, 샤워 플레이트의 내외 영역에 공급한다. 이에 의해, 진공 용기(101) 내의 샤워 플레이트(112) 하방 반경 방향으로, 각 가스 성분을 임의로 조정한 면내 분포를 얻을 수 있다.
또한, 본 실시예에서는 샤워 플레이트(112) 내의 가스 저류 공간을 내외의 2 영역으로 분리했지만, 3 영역 이상이어도 되고, 또한, 원주 방향으로 복수 분리해도 되고, 분리된 수에 맞춰 가스 유량 분류기(124)의 분할 수를 설정하고, 동일 가스 종류의 첨가 가스 계통의 수를 마련하면 된다.
또한, 이와 같은 가스 영역 분할의 효과는, 샤워 플레이트(112)와 시료대(103)와의 거리가 좁을 수록 효과가 있다.
상술한 바와 같이 구성된 장치에 의해 다음과 같이 처리가 행해진다.
도시 생략된 반송 장치에 의해 진공 용기(101) 내에 반입된 웨이퍼(102)가 시료대(103) 상에 배치되고, 직류 전원(106)으로부터의 전압 인가에 의해 웨이퍼(102)가 시료대(103) 상에 정전 흡착된다. 시료대(103)에 흡착·유지된 웨이퍼(102)의 이면에는 전열(傳熱) 가스인 H2 가스가 공급되고, 온조 유닛(107)에 의해 온도 조정된 시료대(103)와의 사이에서 열전달이 행해져 웨이퍼(102)가 소정의 처리 온도로 유지된다.
웨이퍼(102)가 시료대(103)에 유지된 후, 진공 용기(101) 내에, 메인 가스 계통(115), 내측 첨가 가스 계통(116) 및 외측 첨가 가스 계통(117)으로부터 내측 가스 공급로(113) 및 외측 가스 공급로(114)에 각각 유량 제어된 처리 가스인 O2, CHF3, Cl2, SiCl4, N2의 혼합 가스가 공급된다. 공급된 혼합 가스는, 샤워 플레이트(112)를 통해 진공 용기 내에 공급되고, 진공 용기(101) 내에서 소정의 처리 압력으로 유지되고, 고주파 전원(110)으로부터의 200㎒의 고주파 전력과 함께 솔레노이드 코일(111)로부터의 자장의 작용에 의해 혼합 가스가 여기되어 플라스마화된다.
플라스마가 생성된 후는, 고주파 전원(105)에 의해 시료대(103)에 바이어스용의 고주파 전력을 공급한다. 이에 의해 플라스마 중의 이온이 웨이퍼(2)에 입사하고, 웨이퍼(102)의 에칭 처리가 행해진다. 이때, 진공 용기(101) 내에 공급하는 각 가스 성분을 반경 방향에서 임의로 조정되어 있고, 플라스마 중에 있어서는 그 면내 분포에 따라 해리된 가스 성분의 라디칼이나 이온이 분포하며, 웨이퍼(102) 면내의 에칭 처리에 균일하게 작용하도록 조정되어 있다.
여기에서, 피에칭재인 웨이퍼(102)는, 도 2의 (a)에 나타내는 적층막을 갖는다. 웨이퍼 기판 상에는 페어층(201), 본 실시예에 있어서는, 실리콘산화막(SiO2)과 폴리 실리콘막(Poly-Si)을 번갈아 적층한 적층막이 설치되고, 페어층(201) 상에 순차, ACL막(B-Doped ACL막)(202), 실리콘산질화막(SiON)(203), 반사 방지막(BARC)(204), 포토레지스트막(PR)(205)이 설치되어 있다.
다음으로 상술 구조의 막의 에칭 처리에 대해 설명한다. 우선, 도 2의 (b)에 나타내는 바와 같이, 회로 패턴을 노광된 포토레지스트막(205)을 마스크로 해서 반사 방지막(204), 실리콘산질화막(203)을 에칭에 의해 패턴을 전사한다. 이 실리콘산질화막(203)의 에칭 처리에서는, CHF3 가스와 SF6 가스의 혼합 가스를 사용한다. 또한, 이때, 포토레지스트막(205) 및 반사 방지막(204)을 제거하는 처리를 넣어도 된다. 이어서 도 2의 (c)에 나타내는 바와 같이 실리콘산질화막(203)을 마스크로 해서 B-doped ACL(202)을 에칭한다.
본 발명에서는, 실리콘산질화막(203)을 마스크로 해서 ACL막(202) 중의 붕소를 에칭하기 위해, 종래 ACL의 에천트인 산소에 더해, 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스(SiCl4) 또는 사불화실리콘 가스(SiF4)의 혼합 가스를 사용하는 것을 특징으로 한다.
이때, 가스 전체에 대한 할로겐 가스의 비율은, B-Doped ACL(202)에 포함되는 붕소의 함유량(예를 들면 5-70%)과 온조 유닛(107)의 설정 온도에 따라 적절히 설정한다. 본 실시예에서는, 55%의 붕소 농도의 B-Doped ACL막을 에칭할 경우, 온조 유닛(107)의 온도를 50℃로 하고, O2 가스와 CHF3 가스와 Cl2 가스와 SiCl4 가스의 혼합 가스를 사용했다. 이 경우, 각 가스 종류의 경향은, 이하와 같이 된다.
우선, 가스 전체의 유량에 대해 CHF3의 비율이 높으면 불소 라디칼의 공급이 과잉으로 되어, 붕소는 처음부터, 카본과의 반응도 촉진되고, 도 3의 (a)에 나타내는 바와 같이 B-Doped ACL막(202)에 사이드 에칭(301)이나 보잉(302)이 발생한다. 또한, CHF3의 비율이 낮으면 에칭이 진행하지 않고, 도 3의 (b)에 나타내는 바와 같이 에칭 스톱(303)으로 된다. 그리고, Cl2를 첨가하고, CHF3와의 가스 비를 적절히 제어함에 의해 사이드 에칭 억제와 고에칭 레이트를 양립하는 것이 가능해진다.
또한, 일반적으로 시료대(103)의 온도는, B-Doped ACL막의 상방의 막으로부터 하방의 막까지의 일관 처리에 있어서 고스루풋을 유지하기 위해, B-Doped ACL막의 상방의 막으로부터 하방의 막까지의 에칭에 적절한 각각의 온도의 차가 ±10℃ 이내로 되도록 설정(스텝간의 변화률 1℃/s의 경우, 온도 변화 대기 시간 10s 이내)하는 것이 바람직하다. 또한, BDoped ACL막의 상방 및 하방의 층의 재질 변경에 따라 시료대(103)의 온도를 변화시키는 것이 일반적이다.
따라서, B-Doped ACL막의 상방의 막으로부터 하방의 막까지를 일관 처리할 때, 시료대(103)의 온도를 필요에 따라 올리고, CHF3의 유량 비는, 각각의 막에서 저하, 증가시킨 상태에서 고(高)에칭 레이트를 유지하고, 또한 CHF3 가스에 대한 Cl2 가스 비율을 제어함에 의해 고에칭 레이트와 수직성을 양립하는 것이 가능해진다. 반대로 시료대(103)의 온도를 낮게 할 경우는, 온도 저하에 의해 불소 라디칼의 반응 확률이 저감함에 의해 사이드 에칭을 저감시킬 수 있기 때문에, CHF3 가스에 대한 Cl2 가스 비율을 저하시키면 된다. 즉, 시료대(103)의 온도를 조정함에 의해 보잉 형상이나 테이퍼 형상의 각도의 조정을 할 수도 있다.
CHF3 가스에 대한 Cl2 가스 비율을 높게 설정함에 의해 이방성이 높은 형상을 얻을 수 있지만, 더 고바이어스 전력, 고압력 영역을 사용함에 의해 종래의 ACL 에칭과 동등 이상의 고레이트의 처리가 가능해진다. 또한, 고바이어스 화는, 반응 생성물의 증가에 의한 측벽 보호 증가의 효과가 있고, 사이드 에칭이나 보잉 형상으로 되는 것을 억제하는 효과가 있다. 또한 도 4에 나타내는 바와 같이 B-Doped ACL막의 에칭 레이트(401)는, 바이어스 전력이 1000W 이하인 영역에 있어서 바이어스 전력의 증가에 수반해서, 크게 증가하지만, 1000W 이상인 영역에서는 완만하게 증가한다.
또한, 마스크 선택비(402)는, 바이어스 전력의 증가에 수반해서, 완만하게 감소하지만, 감소폭은, 작다. 즉, B-Doped ACL막을 고에칭 레이트로 처리하려면, 바이어스 전원의 전력 설정은 1000W 이상으로 하는 것이 바람직하다. 이때, 4㎒의 1000W에서는, 정현파의 피크간 전압인 Vpp가 1350V이기 때문에, 바이어스 주파수가 서로 다른 경우는, 이 Vpp 이상으로 되는 전원 전력, 또는 직접 Vpp 제어함에 의해 조정할 수 있다.
또한 고압화는, 라디칼 플럭스가 증가하고, 플라스마 밀도가 저하하기 때문에, 도 5에 나타내는 바와 같이 고압화에 수반해서, B-Doped ACL막의 에칭 레이트(501)는 증가하고, 선택비도 증가한다. 선택비(502)는, 압력이 4Pa 이상인 영역에서는 포화하고 있기 때문에, 압력 설정은 4Pa 이상으로 하는 것이 바람직하다.
그리고, 본 발명에서는, 사염화실리콘 가스 또는 사불화실리콘 가스를 첨가함에 의해 실리콘산화 화합물이 마스크인 실리콘산질화막(203)에 퇴적하고, 실리콘산질화막(203)의 에칭량이 감소하기 때문에, 선택비를 증가시킬 수 있다. 또한, 마스크의 나머지 양이 증가하기 때문에, 마스크의 후퇴를 감소시킬 수 있어, 도 3의 (c)에 나타내는 바와 같이 형상의 상부의 파세팅(304)을 억제하는 것이나, 마스크 막두께를 예를 들면, 30-40㎚와 같은 종래의 ACL 에칭 처리 정도의 두께로 할 수 있다. 이에 의해 마스크 성막 공정의 복잡화를 회피하고, 스루풋, CoO 향상하는 것이 가능해진다.
이상과 같이 Cl2 가스에 대한 CHF3 가스의 비와 바이어스 전력에 의해 수직성의 조정은 가능하기 때문에, 웨이퍼 스테이지는 100℃ 이하이면 된다. 그러나, B-Doped ACL막의 상방 및 하방의 적층막을 1 챔버에서 일관 가공해서 고스루풋을 유지하기 위해는, 0℃ 이상 60℃ 이하로 하는 것이 바람직하다.
또한, 본 실시예에서는 불소 함유 가스로서 CHF3 가스를 사용했지만, 기타 CH2F2 가스, CH3F 가스, NF3 가스, CF4 가스, SF6 가스를 사용해도 된다. 또한, Cl2 가스 외에 Br 함유 가스의 HBr 가스, HI 가스를 사용해도 된다. 또한 SiCl4 가스 외에 SiF4 가스를 사용해도 된다. 예를 들면, CHF3 가스보다 다량의 불소를 발생시키는 NF3 가스, CF4 가스, SF6 가스 등을 사용했을 경우, 불소계 가스 비를 CHF3 가스의 사용시보다 저하시키거나, 또는, 시료대(103)의 설정 온도를 저하시킴에 의해 수직 형상을 유지할 수 있다.
또한 본 실시예에서는, 산소 가스와 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스의 혼합 가스 또는 산소 가스와 불소 함유 가스와 할로겐 가스와 사불화실리콘 가스의 혼합 가스를 사용해서 붕소를 함유하는 어모퍼스 카본막을 플라스마 에칭하는 예였지만, 산소 가스와 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스의 혼합 가스 또는 산소 가스와 불소 함유 가스와 할로겐 가스와 사불화실리콘 가스의 혼합 가스를 N2 가스, Ar 가스, He 가스, Xe 가스, Kr 가스 등의 불활성 가스에 의해 희석해도 본 실시예와 동등한 효과를 나타낼 수 있다.
또한, HBr 가스를 사용했을 경우는, Cl2 가스보다 반응성이 낮기 때문에, Cl2 가스보다 작은 유량으로 조정 가능하다. SiF4 가스를 사용했을 때는, 산화막의 전구체임과 동시에 불소 원소의 공급원이기도 하기 때문에, 불소계 가스의 양을 저하시키면서, 적절히 유량을 조정함에 의해 고선택비를 실현할 수 있다.
또한, 본 실시예에서는, 붕소가 함유된 어모퍼스 카본막인 B-Doped ACL막의 마스크 형성 예였지만, 본 발명에 따른 드라이 에칭을 붕소가 함유되어 있지 않은 어모퍼스 카본막에 적용해도 본 실시예와 동등한 효과를 얻을 수 있다. 즉, 본 발명에 따른 드라이 에칭을 종래의 어모퍼스 카본막에 적용해도 본 실시예와 동등한 효과를 얻을 수 있다.
왜냐하면, 붕소가 함유되어 있지 않은 어모퍼스 카본막의 주성분이 붕소를 함유하는 어모퍼스 카본막의 주성분과 동일한 유기재이고, 사염화실리콘 가스 또는 사불화실리콘 가스를 첨가함에 의해 실리콘산화 화합물이 마스크인 실리콘산질화막(203)에 퇴적하고, 실리콘산질화막(203)의 에칭량이 감소함에 의해 선택비를 향상시키고 있기 때문이다.
또한 본 발명에 따른 드라이 에칭 방법을 실시하기 위해서는, 상술한 가스 종류를 구비하고, 또한 3000W 정도의 바이어스를 인가할 수 있고, 4Pa 이상의 고압력에서 균일한 에칭 장치가 필요하다. 본 실시예에서는, 도 1에 나타낸 평행 평판 구조의 VHF 에칭 장치를 사용하였지만, 다른 CCP(Capasitively Coupled Plasma), ICP(Inductively Coupled Plasma), μ파 ECR(Micro Wave Electron Cyclotron Resonance) 등의 다른 플라스마원을 사용한 플라스마 에칭 장치에 있어서도, 압력, 가스 유량, 가스비, 바이어스 전력, 주파수, 스테이지 온도 등을 조정함에 의해 본 실시예와 마찬가지인 효과를 얻을 수 있다.
이상, 본 발명에 의해, 무기막을 마스크로 해서 어모퍼스 카본막을 고에칭 레이트 또한 고선택비로 에칭을 할 수 있기 때문에, 전후 공정 포함한 일관 에칭 처리를 가능하게 하고, 마스크 성막 공정을 간소화함에 의한 전후 공정 포함한 고스루풋화를 실현하는 것이 가능해진다.
101:진공 용기
102:웨이퍼
103:시료대
104:제1 정합기
105:바이어스 인가용의 고주파 전원
106:직류 전원
107:온조 유닛
108:안테나
109:제2 정합기
110:플라스마 생성용의 고주파 전원
111:솔레노이드 코일
112:샤워 플레이트
113:내측 가스 공급로
114:외측 가스 공급로
115:메인 가스 계통
116:내측 첨가 가스 계통
117:외측 첨가 가스 계통
118:유량 제어기A
119:유량 제어기B
120:유량 제어기C
121a:유량 제어기D
121b:유량 제어기D
121c:유량 제어기D
122a:유량 제어기E
122b:유량 제어기E
123:스톱 밸브
124:가스 분류기
201:실리콘산화막과 폴리 실리콘막의 페어층
202:B-Doped ACL막
203:실리콘산질화막
204:반사 방지막
205:포토레지스트막
301:사이드 에칭
302:보잉
303:에칭 스톱
304:파세팅

Claims (8)

  1. 붕소가 함유된 어모퍼스 카본막을 갖는 적층막을 플라스마 에칭함에 의해 마스크를 형성하는 플라스마 처리 방법에 있어서,
    산소 가스와 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스의 혼합 가스를 사용해서 상기 붕소가 함유된 어모퍼스 카본막을 수직 형상이 되도록 플라스마 에칭하고,
    상기 불소 함유 가스는, NF3 가스 또는 SF6 가스인 것을 특징으로 하는 플라스마 처리 방법.
  2. 제1항에 있어서,
    상기 할로겐 가스는, Cl2 가스, HBr 가스 또는 HI 가스인 것을 특징으로 하는 플라스마 처리 방법.
  3. 붕소가 함유된 어모퍼스 카본막을 갖는 적층막을 플라스마 에칭함에 의해 마스크를 형성하는 플라스마 처리 방법에 있어서,
    산소 가스와 CHF3 가스와 Cl2 가스와 사염화실리콘 가스의 혼합 가스를 사용해서 상기 붕소가 함유된 어모퍼스 카본막을 수직 형상이 되도록 플라스마 에칭하고,
    상기 혼합 가스에 대한 상기 Cl2 가스의 유량 비율은, 상기 혼합 가스에 대한 상기 CHF3 가스의 유량 비율보다 높은 것을 특징으로 하는 플라스마 처리 방법.
  4. 붕소가 함유되어 있지 않은 어모퍼스 카본막을 갖는 적층막을 플라스마 에칭함에 의해 마스크를 형성하는 플라스마 처리 방법에 있어서,
    산소 가스와 불소 함유 가스와 할로겐 가스와 사염화실리콘 가스의 혼합 가스를 사용해서 상기 붕소가 함유되어 있지 않은 어모퍼스 카본막을 수직 형상이 되도록 플라스마 에칭하는 것을 특징으로 하는 플라스마 처리 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 적층막이 성막된 시료를 재치(載置)하는 시료대에 1000W 이상의 고주파 전력을 공급 또는 상기 시료대에 1350V 이상의 피크간 고주파 전압을 인가함에 의해 상기 붕소가 함유된 어모퍼스 카본막을 플라스마 에칭하는 것을 특징으로 하는 플라스마 처리 방법.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서,
    압력을 4Pa 이상으로 해서 상기 붕소가 함유된 어모퍼스 카본막을 플라스마 에칭하는 것을 특징으로 하는 플라스마 처리 방법.
  7. 삭제
  8. 삭제
KR1020187015807A 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치 KR102262750B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020197029860A KR102329531B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2016-062977 2016-03-28
JP2016062977 2016-03-28
PCT/JP2017/003258 WO2017154407A1 (ja) 2016-03-28 2017-01-31 プラズマ処理方法およびプラズマ処理装置
KR1020177020315A KR101990332B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177020315A Division KR101990332B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197029860A Division KR102329531B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Publications (2)

Publication Number Publication Date
KR20180063383A KR20180063383A (ko) 2018-06-11
KR102262750B1 true KR102262750B1 (ko) 2021-06-10

Family

ID=59790197

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020197029860A KR102329531B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치
KR1020187015807A KR102262750B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치
KR1020177020315A KR101990332B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197029860A KR102329531B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177020315A KR101990332B1 (ko) 2016-03-28 2017-01-31 플라스마 처리 방법 및 플라스마 처리 장치

Country Status (6)

Country Link
US (1) US10157750B2 (ko)
JP (3) JP6458156B2 (ko)
KR (3) KR102329531B1 (ko)
CN (2) CN107438892B (ko)
TW (2) TWI685034B (ko)
WO (1) WO2017154407A1 (ko)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107438892B (zh) * 2016-03-28 2021-08-24 株式会社日立高新技术 等离子处理方法以及等离子处理装置
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
WO2019235398A1 (ja) * 2018-06-04 2019-12-12 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
CN110571138A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020031224A1 (ja) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
WO2020008703A1 (ja) * 2019-04-19 2020-01-09 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2020217266A1 (ja) * 2019-04-22 2020-10-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
JP7190988B2 (ja) * 2019-08-21 2022-12-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6950096B2 (ja) * 2019-09-13 2021-10-13 株式会社日立ハイテク 半導体装置の製造方法及びプラズマ処理装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP2021141153A (ja) 2020-03-04 2021-09-16 キオクシア株式会社 パターン形成方法及び半導体装置の製造方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023203591A1 (ja) * 2022-04-18 2023-10-26 株式会社日立ハイテク プラズマ処理方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009135478A (ja) * 2007-11-02 2009-06-18 Applied Materials Inc 基板上に高アスペクト比の特徴部を形成する方法
JP2012109310A (ja) 2010-11-15 2012-06-07 Sumco Corp エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP3438313B2 (ja) * 1994-05-12 2003-08-18 富士通株式会社 パターン形成方法
US6007733A (en) * 1998-05-29 1999-12-28 Taiwan Semiconductor Manufacturing Company Hard masking method for forming oxygen containing plasma etchable layer
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
KR100792405B1 (ko) * 2007-01-03 2008-01-09 주식회사 하이닉스반도체 벌브형 리세스 패턴의 제조 방법
KR100898590B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7838361B2 (en) * 2007-09-28 2010-11-23 Hynix Semiconductor Inc. Method for fabricating recess gate in semiconductor device
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP2011228436A (ja) 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
CN102412139A (zh) * 2011-05-23 2012-04-11 上海华力微电子有限公司 一种无定形碳硬掩模的等离子体刻蚀方法
JP2014007370A (ja) 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
CN103515197A (zh) * 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
FR3002689B1 (fr) * 2013-02-25 2016-10-28 Commissariat Energie Atomique Procede de gravure autolimitant a niveaux multiples
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9287124B2 (en) 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
JP6277004B2 (ja) * 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
CN103928339A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 SiGe PMOS半导体器件的制作方法
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
CN107438892B (zh) * 2016-03-28 2021-08-24 株式会社日立高新技术 等离子处理方法以及等离子处理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009135478A (ja) * 2007-11-02 2009-06-18 Applied Materials Inc 基板上に高アスペクト比の特徴部を形成する方法
JP2012109310A (ja) 2010-11-15 2012-06-07 Sumco Corp エピタキシャルウェーハの製造方法およびエピタキシャルウェーハ
US20160005602A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Also Published As

Publication number Publication date
KR101990332B1 (ko) 2019-06-18
CN107438892B (zh) 2021-08-24
KR102329531B1 (ko) 2021-11-23
JP6580215B2 (ja) 2019-09-25
TWI685034B (zh) 2020-02-11
US20180068862A1 (en) 2018-03-08
CN111627807A (zh) 2020-09-04
JP6734973B2 (ja) 2020-08-05
JP2018160689A (ja) 2018-10-11
KR20180063383A (ko) 2018-06-11
WO2017154407A1 (ja) 2017-09-14
TW201802931A (zh) 2018-01-16
US10157750B2 (en) 2018-12-18
TWI638406B (zh) 2018-10-11
KR20190119176A (ko) 2019-10-21
KR20170125009A (ko) 2017-11-13
JP6458156B2 (ja) 2019-01-23
TW201838033A (zh) 2018-10-16
JP2019186572A (ja) 2019-10-24
JPWO2017154407A1 (ja) 2018-03-15
CN111627807B (zh) 2023-08-29
CN107438892A (zh) 2017-12-05

Similar Documents

Publication Publication Date Title
KR102262750B1 (ko) 플라스마 처리 방법 및 플라스마 처리 장치
US10026621B2 (en) SiN spacer profile patterning
KR101808380B1 (ko) 레지스트 마스크의 처리 방법 및 반도체 디바이스의 제조 방법
KR20190068639A (ko) 고종횡비 구조들을 위한 제거 방법들
US9607811B2 (en) Workpiece processing method
US20220051904A1 (en) Etching method
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
KR102125103B1 (ko) 플라즈마 에칭 방법
US9543164B2 (en) Etching method
US9280051B2 (en) Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
KR20210001962A (ko) 기판 처리 방법 및 기판 처리 장치
US20240112919A1 (en) Low-Temperature Etch
US20220020599A1 (en) Integration processes utilizing boron-doped silicon materials
JP7202489B2 (ja) プラズマ処理方法
KR102580124B1 (ko) 플라스마 처리 방법
WO2020100339A1 (ja) プラズマ処理方法
JP2023065412A (ja) 基板処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2019101004010; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20191203

Effective date: 20210223

GRNO Decision to grant (after opposition)