CN104051347A - 用于三维装置的镶嵌式导体的半导体装置及其形成方法 - Google Patents

用于三维装置的镶嵌式导体的半导体装置及其形成方法 Download PDF

Info

Publication number
CN104051347A
CN104051347A CN201310322997.8A CN201310322997A CN104051347A CN 104051347 A CN104051347 A CN 104051347A CN 201310322997 A CN201310322997 A CN 201310322997A CN 104051347 A CN104051347 A CN 104051347A
Authority
CN
China
Prior art keywords
liner
filling material
insulation filling
substrate
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310322997.8A
Other languages
English (en)
Other versions
CN104051347B (zh
Inventor
邱家荣
李冠儒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Publication of CN104051347A publication Critical patent/CN104051347A/zh
Application granted granted Critical
Publication of CN104051347B publication Critical patent/CN104051347B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种用于三维装置的镶嵌式导体的半导体装置及其形成方法,该方法沉积一内衬在多个间隔开的有源层叠层之上;一绝缘材料形成于内衬之上、多个间隔开的叠层之上和之间;绝缘材料内的多个沟道交错排列在多个间隔开的有源条叠层之上,在有源条叠层之间的沟道底部和间隔开的有源条叠层的一侧壁之上留下内衬的至少一残余物;选择性地移除沟道底部和间隔开的有源条叠层的侧壁上的内衬残余物;然后以导体或半导体材料填充多个沟道以形成镶嵌结构。

Description

用于三维装置的镶嵌式导体的半导体装置及其形成方法
技术领域
本发明是关于一种高密度集成电路装置。根据本发明实施例,特别是关于提供用于三维高密度装置中连接至多层平面的导体的一种半导体装置及其形成方法。
背景技术
三维(3D)存储装置的特征为有多层结构,其中的每一层可包括存储单元的平面阵列。用于三维存储装置中连接至多层平面的导体,例如是一高密度字线或位线结构,可能会造成制作三维存储装置的难度。
发明内容
对于一些三维叠层的集成电路装置,用于多个存储单元内的多个有源层,例如是多条位线或是字线,系叠层成间隔开的多个脊状结构且沿一第一方向延伸排列。在此种结构中,互补的多条字线或位线可配置为包括多个镶嵌特征(damascene features),镶嵌特征位于脊状物之间具有高长宽比的一个或多个沟道内,沟道沿一第二方向排列,第二方向例如是垂直于第一方向。镶嵌导体可通过以一内衬材料内衬间隔开的脊状物,接着在间隔开的脊状物形成绝缘填充材料来形成。多个开口例如是交错在间隔开的脊状物之上的沟道是使用第一刻蚀工艺形成在绝缘填充材料内。间隔开的脊状物位于沟道内的侧壁被暴露出来,且至少一部分的内衬材料仍留在间隔开的脊状物的侧壁上。在选择性的第二刻蚀工艺中,包括在沟道底部角落的内衬具有比绝缘填充材料高的刻蚀速率。剩下的内衬材料可通过暴露于放射线,例如是能量化的粒子,进一步的弱化(weakened)。因为被弱化,位在间隔开的脊状物之间和角落内的剩下的内衬材料在第二刻蚀工艺,例如是湿刻蚀工艺中,可优先的被移除。因此,形成的沟道具有更平坦的角落和更垂直的壁(相对于衬底表面),这是因为第二刻蚀工艺对于绝缘填充物的刻蚀效果较差,而使用第二刻蚀工艺可达到从沟道内移除内衬,且绝缘填充物暴露于第一刻蚀工艺的时间较短。对于导体结构而言沟道可用作镶嵌铸模,导体结构是沉积在沟道内以形成一镶嵌导体。导电材料可以例如是金属材料、半导体材料或是材料的组合。
因此,形成的镶嵌导体可在三维存储器中作为位线或字线。在这种三维存储器中,存储器单元是设置在多个叠层的位线或字线及与其相交的多个字线或位线的多个交叉点。
相对于已知技术,本发明提供的方法可达成许多好处。举例来说,本发明的方法可应用来形成高长宽比的导体,高长宽比的导体具有期望的平坦角落和实质上垂直的壁,因此保持每个间隔开的叠层的侧面。不同的其他观点和好处被描述于说明书与后述权利要求范围。
附图说明
图1绘示包括镶嵌导体结构的三维存储器的透视图。
图2至图11、图2A至图7A、图9A至图11A、图6B、图6C、图7B、图7C、图7D、图9B至图11B和图9C至图11C绘示一种形成用于三维存储装置中镶嵌导体结构的方法的多个阶段。
图12绘示一种用于三维存储装置中的镶嵌导体结构的工艺流程图。
【符号说明】
106、206、207:叠层
200、1500:存储装置
202:衬底
203、1502:绝缘层
204:有源材料
208:上盖层
210:间隙
211、704:角落
302:内衬
402、1516、1518、1520、1522:绝缘材料
602:掩模
702、1534:沟道
703:残留
706:壁
708:角度
710:衬底表面
712:第一线
714:第二线
802-1、802-2:离子或粒子
1102:导体材料
1200:流程图
1204、1206、1208、1210、1212、1214、1216、1218、1220:步骤
1504、1506:平面
1508、1510、1512、1514:有源条
1524:层
1526、1528:镶嵌导体
1530、1532:硅化物
A-A、B-B、C-C:剖面线
WL:字线
BL:位线
具体实施方式
各种实施例是搭配图式进行详细说明,以下说明将典型地参照特定的结构实施例与方法。可以理解的是,此处特别揭露的实施例和工艺,并非对本发明欲保护的范围做限缩,本发明可使用其他特征、元件、方法与实施例来进行。较佳实施例是用以描述本发明,并非对本发明欲保护的范围做限缩,本发明的保护范围当视随附的权利要求范围所界定的为准。本发明所属技术领域中具有通常知识者可以理解后述说明中各种均等物的变化,不同实施例中的相似元件是以相似的元件符号标示。
图1绘示一实施例的包括镶嵌导体的一种三维(3D)存储装置1500的透视图。为了较佳地描述存储叠层、镶嵌导体结构以及其他结构,各种绝缘材料未被绘示在图中。如图中所示,三维存储装置1500是形成覆盖在一衬底之上,衬底具有形成于其上的绝缘层1502。衬底可包括一或多个集成电路和其他结构。图式中仅绘示出两个平面1504和1506,但平面的数量可以被延伸至任何数量为N个的层,N是一个具有数值大于1的一个整数。在一些例子中,平面的数量可以等于2、4、8、16、32或通常是2进位密码(binary decoding)的平面,也就是2n层。如图中所示,三维存储装置包括以绝缘材料1516、1518、1520和1522隔开的有源条(active strips)叠层1508、1510、1512和1514(有源条例如是位线BLn)。在所述的实施例中,有源条各自包括适合作为一通道区域的半导体材料。如图中所示,多个叠层是脊状(ridge-shaped)且在Y轴上延伸,使得有源条1508、1510、1512和1514可被用作快闪存储单元串(flash memory cell strings)组成中包括通道区域的主体,快闪存储单元串例如是水平与非门串(horizontalNAND string)。在其他实施例中,有源条可配置为垂直与非门(NAND)串构造中的字线。举例来说,记载于美国专利公开案第2012/0182808号案,申请于2011年1月19日,发明名称为「存储装置、其制造方法与操作方法(Memory Device,Manufacturing Method And Operating Method Of TheSame)」,发明人为吕函庭、陈士弘的美国专利是本申请案的受让人所共同拥有且在此作为参照(incorporated by reference)。
用于存储单元串时,第一存储平面(memory plane)1504中的有源条1508和1512可作为通道区域。用于存储器单元串时,第二存储平面1506中的有源条1510和1514可作为通道区域。如图中所示,在这个例子中存储材料的一层1524覆盖于多个有源条叠层,且在另一个例子中至少覆盖于有源条的侧壁上。
在图1所示的实施例中,多个镶嵌导体1526、1528是正交地(orthogonally)排列在多个有源条叠层之上。镶嵌导体1526、1528在由多个叠层定义出的沟道(例如是1534)内具有与多个有源条叠层共形的表面,且在这些叠层上的有源条1508、1510、1512及1514的侧面与镶嵌导体1526及1528(例如是字线WLn、WLn+1)之间的交叉点定义出接口区的多层阵列。镶嵌导体1526和1528可以经由如图2至图12所示的方法形成。如图中所示,硅化物层1530、1532(例如是硅化钨、硅化钴、硅化钛或是硅化镍)可以形成在镶嵌导体1526及1528(例如是字线)的上表面之上。
根据实施的方式,存储材料层1524可包括多层介电电荷储存结构。举例来说,一多层介电电荷储存结构包括一包括氧化硅的隧穿层、一包括氮化硅的电荷捕捉层和一包括氧化硅的阻隔层(blocking layer)。在一些例子中,介电电荷储存层中的隧穿层可包括一厚度约小于2纳米的第一层氧化硅,一厚度约小于3纳米的氮化硅和一厚度约小于3纳米的第二层氧化硅。在其他实施方式中,存储材料的层1524可仅包括一电荷捕捉层,没有隧穿层或阻隔层。在替代的一反熔丝(anti-fuse)材料中像是二氧化硅、氮氧化硅或其他硅氧化物,举例来说可使用具有1到5纳米之间的厚度。亦可使用其他反熔丝材料像是氮化硅。在反熔丝的实施例中,有源条1510和1514可以是具有第一导电型(例如是p型)的半导体材料。镶嵌导体(例如是字线)1526、1528可以是具有第二导电型(例如是n型)的半导体材料。举例来说,有源条1510和1514可以用p型多晶硅来制成,而镶嵌导体1526和1528可以用相对重掺杂的n+型多晶硅来制成。在反熔丝的实施例中,有源条的宽度须足够以提供空乏区的空间来维持二极管的操作。因此,存储单元包括一整流器,整流器由p-n结与在阳极和阴极之间的可编程(programmable)反熔丝层形成,存储单元是形成在多晶硅条和导体线之间的交叉点的三维阵列中。
在其他实施例中,不同的可编程电阻存储材料可被用为存储材料,包括金属氧化物,例如是氧化钨形成在钨上、掺杂金属氧化物或其他材料。不同种类的可编程金属化材料(metallization material)亦可被用作存储材料来形成可编程金属化单元(Programmable Metallization Cells,PMC)。部分此种材料形成的装置可在多个电压或电流被编程或被消除,且可被用以进行每单元多位储存的操作。
图2至图11、图2A至图7A、图9A至图11A、图6B、图6C、图7B、图7C、图7D、图9B至图11B和图9C至图11C绘示一种形成一镶嵌导体结构的方法的多个阶段,举例来说,根据不同实施例,镶嵌导体结构可以用于三维存储装置中的字线或栅极的结构。用于高密度装置时,当半导体平面(例如是图1中的1504和1506)的数量增加时,必须制造一高长宽比(aspect ratio)的字线或栅极(例如是图1中的1526和1528)。在图案化位于多个叠层单元之上的导体材料的过程中,也就是使得三维存储装置成为栅极结构的过程中,可能会产生各种的缺陷,例如是残留(stringers)、残余物(residues)和临界尺寸(Critical Dimension,CD)的变小。使用两种材料填充以形成绝缘填充物的一种镶嵌工艺可以被用来达成高长宽比的结构,在绝缘填充物中的开口或沟道是作为导体材料的铸模,而导体材料是作为栅极。
图2和图2A绘示一种用来形成一镶嵌结构的制造方法的一阶段,镶嵌结构例如是用于三维装置中的栅极。提供部分形成的存储装置的方法可参照申请中的美国专利公开案第2012/0182806号案,申请日为2011/4/1,发明名称为「具有替代存储串位向和串行选择结构的三维阵列存储器Memory(Architecture Of 3D Array With Alternating Memory StringOrientationAnd String Select Structures)」,发明人为陈士弘、吕函庭,以上美国专利是本申请案的受让人所共同拥有且在此作为参照。部分形成的存储装置200的俯视图是绘示于图2中,沿着剖面线A-A穿越多个间隔开的脊状有源条叠层(图1中的叠层106)的剖面图系绘示在图2A中。如图中所示,部分形成的存储装置200包括一半导体衬底202,半导体衬底202可以是单晶硅晶片、绝缘层上覆硅(Silicon-On-Insulator,SOI)衬底、硅锗材料和其他类型的衬底。
一绝缘层203是形成覆盖在衬底202上,绝缘层203用来使间隔开的有源条叠层(图1中的叠层106)与衬底202绝缘。绝缘层203可以是氧化硅、氮化硅、具有交错的氧化硅和氮化硅层的介电材料叠层(通常被称为ONO)、高介电常数介电材料、低介电常数的介电材料和其他类型的材料。
间隔开的多个有源条叠层包括由适当的多层绝缘体隔开的N层有源条,其中N为一个大于1的整数,包括例如是2、4、8、16、32等。多个条包括有源材料204,在此例子中有源材料204是用于三维存储装置中作为位线的半导体。依据实行方式,有源材料204可以由合适的未掺杂或掺杂的硅材料和其他半导体材料来制成。
如图中所示,部分形成的存储装置包括一上盖层208,在不同实施例中,上盖层208是用来图案化叠层106的硬质掩模(hard mask)。在不同实施例中,上盖层208可以是氮化硅。在如图2A所示的制造阶段中,该结构已通过沉积交错的有源材料和绝缘材料层与位在叠层上的硬质掩模材料被形成。接着使用光刻工艺(lithographic)或是其它图案化工艺来刻蚀穿透交错层以形成由多个沟道隔开的脊状叠层,在此例子中脊状叠层在y方向上延伸。因此,如图式所示,叠层206与叠层207是由间隙210隔开,且许多像这样平行叠层的图案重复而组成阵列。一电荷捕捉存储材料或其他种类的存储材料(图1中的层1524,为了简化在此图中未绘示)可被提供在叠层206、207之上。此刻蚀工艺在叠层206、207的底部形成多个角落(例如是211)。较佳地,多个角落是平坦的,也就是说,这些角落的水平面和垂直面是平坦的,且水平面和垂直面交会成一锐角。在实际上的实行方式中,角落亦可以是有点圆的。
图3和第3A图绘示下一阶段工艺的平面图(其中叠层206和207以轮廓线表示)和剖面图,沉积内衬302于叠层206、207上方之后,内衬于侧壁和沟道底部下方暴露的绝缘体203。如图中所示,内衬302以一薄膜填充角落211且覆盖叠层206、207的侧壁。内衬302可以是一第一绝缘材料,举例来说是第一氧化硅。第一氧化硅可以是在氢氟酸(HF)溶液中具有相对高(或快)的湿刻蚀速率的一种低质量氧化物(low qualityoxide)。低质量氧化物可使用等离子体辅助化学气相沉积法(PE-CVD)来沉积,且使用四乙基正硅酸盐(TEOS)作为氧化硅的前驱物。这类型的PECVD氧化物可具有高的湿刻蚀速率,在缓冲氧化刻蚀(Buffered OxideEtch,BOE)工艺中的湿刻蚀速率约为每分钟40纳米,缓冲氧化刻蚀使用100∶1的氢氟酸缓冲液(buffered HF),其中氟化铵(NH4F)与氢氟酸的体积比约为100∶1。湿刻蚀速率是测量自湿刻蚀工艺之前和之后的无图形晶片(blanket wafer)上的氧化层厚度。其他类似的低质量氧化物可包括使用硅烷或二氯硅烷和一氧化物质(oxygen or nitrous oxide)沉积的低温氧化物。
图4和图4A绘示工艺中沉积第二绝缘材料402之后接下来的阶段,第二绝缘材料402是一种绝缘填充材料用以填充叠层206、207之间的间隙。第二绝缘材料402填充间隙210且覆盖在内衬302上。第二绝缘材料402可以是第二氧化硅,选择的第二氧化硅具有比第一氧化硅更高的密度。在不同实施例中,第二绝缘材料402用于相同的刻蚀化学(举例来说,是一个湿刻蚀工艺,例如是缓冲氧化刻蚀)时,第二绝缘材料402的湿刻蚀速率比内衬302材料的湿刻蚀速率更慢(例如是三分之一或更少)。并且,作为绝缘材料,第二绝缘材料402对于导体(举例来说是栅极结构)需要具有好的间隙填充性质以被制造。第二绝缘材料可包括氧化硅,氧化硅以化学气相沉积工艺与TEOS的热裂解(thermal decomposition)来沉积。此种类型的TEOS氧化物可具有低的湿刻蚀速率,在缓冲氧化刻蚀(BufferedOxide Etch,BOE)工艺中的湿刻蚀速率约为每分钟3纳米,缓冲氧化刻蚀使用100∶1的氢氟酸缓冲液,其中氟化铵与氢氟酸体积比约为100∶1。湿刻蚀速率是测量自湿刻蚀工艺之前和之后的无图形晶片(blanket wafer)上的氧化层厚度。此刻蚀速率不到PE-CVD的TEOS氧化物的湿刻蚀速率的三分之一,而如上所述PECVD TEOS氧化物可被用作内衬302。内衬302相对于绝缘材料402的较小的刻蚀速率比可使得沟道侧壁更垂直,且因此使得形成的导电线的侧壁更垂直。在用来移除内衬302的刻蚀工艺中,期望的是第二绝缘材料402的刻蚀速率系低于内衬的刻蚀速率的三分之一,或甚至低于十分之一,或更低。
图5和图5A绘示图4和图4A中所示的结构进行第二绝缘材料402平坦化之后的一阶段的结构。第二绝缘材料是进行平坦化工艺以暴露上盖层208的表面。平坦化工艺可以是等离子体背刻蚀(plasma etch back)工艺,等离子体背刻蚀工艺使用含有氯的反应性离子且用上盖层208作为刻蚀停止处。含有氯的反应性离子可以从三氟甲烷(CHF3)或其他化合物得到。替代性地,平坦化工艺可以为使用上盖层208作为研磨停止处的化学机械性研磨工艺。
图6、图6A、图6B和图6C绘示图5和图5A中所示的结构形成图案化掩模602于平坦化的第二绝缘材料402之上且暴露上盖层208的表面之后的一阶段的结构。沿着剖面线B-B的第二剖面图是绘示在图6B中,剖面线B-B位在多个掩模条(602)之间且是沿着用来形成镶嵌栅极的沟道的方向设置。图6C绘示在Y轴方向位于间隔开的叠层206、207之间沿着剖面线C-C的第三剖面图。图案化掩模602可由一感旋光性(photosensitive)材料形成,举例来说是光刻胶,并且进行一图案化工艺。图案化掩模602可以是硬质掩模,硬质掩模由绝缘材料、金属材料或其他类型的材料来形成。如图中所示,图案化掩模相对于间隔开的有源条叠层106(举例来说是叠层206、207)是正交的设置。
图7、图7A、图7B和图7C绘示图6、图6A和图6B中所示结构的下一阶段的结构,在第二绝缘材料402已经用图案化掩模层602作为掩模进行第一刻蚀工艺以形成多个沟道或开口702。开口702是设置并沿着相对于间隔开的有源条叠层206、207的正交方向而延伸。第一刻蚀工艺可以是等离子体刻蚀,例如是采用三氟甲烷产生的氯成分而进行。由于间隙210有高的长宽比,部分的内衬302可能留在暴露于开口702中的叠层206、207的侧壁上,例如是残留703。在这个刻蚀步骤中,暴露在刻蚀区域中的上盖层208亦可被部分地移除,这造成厚度的减少,如图7B所示。如图7C和图7D中所示,在此实施例中,第二绝缘材料402中的开口702是了绝缘层203而打开。开口702在底部具有角落704,角落704由衬底表面710(举例来说是绝缘层203的表面)的一角度708来定义,且暴露第二绝缘材料402的垂直壁706。角度708可由第一线712和第二线714来量测,第一线712较佳地与暴露在垂直壁表面的一长度的直线相符,且第一线712借着有源条的深度在垂直方向(z轴方向)上向上延伸,第二线714较佳地与沟道底部的第二绝缘层203的暴露表面710相符。角度708相近于直角且小于95度。在垂直壁706与绝缘层表面之间的角度可以近于90度。在一些实施例中,角度708的范围可介于90度和95度之间、介于90度和91度之间或是介于90度和92度之间。
在不同实施例中,在第一刻蚀工艺中,由于高长宽比的深沟道702和为了维持垂直壁706,叠层206、207和其中的材料在第一刻蚀工艺中可能需要被暴露一段相对长的时间。叠层206、207上的内衬302使得第一刻蚀工艺使用的时间较短,因为不需要使用第一刻蚀工艺来移除角落的材料。这避免了过刻蚀,而过刻蚀可能造成垂直壁的斜率增加。因此,内衬的使用使得形成的导电线有更垂直的侧壁以及较小的间距(pitch)。因此,垂直壁706和绝缘层表面之间的角度可以接近90度。在一些实施例中,依据刻蚀工艺、选用的材料、内衬的厚度和沟道的深度,角度706可小于95度、小于92度或小于91度。
图8是类似于图7B为图7中沿着剖面线B-B的剖面图,图8绘示可用于一些实施例中的下一阶段。在这个阶段中,内衬302的剩余部分可通过进行放射线处理而被弱化,放射线的类型例如是以能量化的离子或粒子802-1和802-2或是其他类型的放射线。放射线可以是由惰性气体(例如是氩气、氮气、氦气或其他惰性气体)得到的加速的离子或电中性的粒子。在一些实行方式中,可通过离子注入机(ion implanter)来提供放射线。此外,放射线亦可提供多于一个入射角度,如图式中的箭头(802-1和802-2)所示,以覆盖暴露在开口(例如是702)底部以及叠层206、207侧壁的内衬302残余的部分。放射线(离子或粒子802-1和802-2)可减少内衬302的残余物,且对于保留的结构特性没有实质上有害的的改变,保留的结构例如是在叠层206中的有源材料204。
图9、图9A、图9B和图9C绘示图8所示的结构进行移除开口702内部内衬302的残余物,如前所述的选择性地弱化残余物之后的阶段的结构。如图中所示,内衬302的残余物在被弱化以后系实质上使用第二刻蚀工艺来完全移除。对于第一氧化硅如内衬302,湿刻蚀工艺可以是使用缓冲氢氟酸液的缓冲氧化刻蚀,以较佳地控制湿刻蚀速率。为了达到一个期望的湿刻蚀速率,而能在弱化内衬302时不会刻蚀到绝缘填充材料402,缓冲氢氟酸液可包括具有合适比例的氟化铵(NH4 +)和氢氟酸(HF)溶液。不同物质,例如是铵离子(NH4 +)、氟离子(F-)、氢离子(H+)、氢氧离子(OH-)和其他成分,可存在于缓冲氢氟酸溶液装。如前述,用于湿刻蚀工艺中选择作为内衬302的第一氧化硅具有比作为第二绝缘材料402的第二氧化硅快约10倍或至少3倍的刻蚀速率。弱化的内衬302残余则具有甚至更高的刻蚀速率,因此第二刻蚀工艺可以更完全地移除内衬302的残余物而不移除第二绝缘材料402。由于实质上彻底地移除开口702内甚至是角落211内的内衬,这个工艺对于保持叠层106的侧面轮廓具有明显程度的改善。沟道开口702包括角落704的侧面轮廓也被保持。侧壁706与衬底表面203之间的角度708可以保持接近90度。如图中所示,在这个阶段的工艺(第9B图)中暴露于刻蚀的硬质掩模208也可能被一定程度地侵蚀。
图10、图10A、图10B和图10C绘示图9、图9A和图9B所示的结构进行移除图案化掩模602之后的阶段的结构。图10B是在x轴方向沿着剖面线B-B的剖面图,图10B绘示叠层206、207具有暴露在沟道702中的侧壁。图10A是在x轴方向沿着剖面线A-A穿过多个第二绝缘条402的剖面图。并且,相较于图10A中所示的邻接于第二绝缘材料条402的上盖层208的表面,在叠层206、207上并邻接于沟道702的被侵蚀的上盖层208具有一个较低的表面。如图10C中所绘示,第二绝缘材料402中的沟道702是了绝缘层203而形成。如前所述,每个沟道都具有实质上垂直于绝缘层表面710的侧壁706。多个沟道(例如是702)提供用于三维存储装置的多个镶嵌导体结构(举例来说是栅极结构)的铸模。如进一步如具有不同角度的图10、图10A、图10B和图10C所示,每个间隔开的有源条106(例如是206、207)的侧面轮廓是实质上维持住(maintained),且仅有极少或甚至没有绝缘材料残留在有源条的边上。
接着,沉积一导体材料1102以填充每个沟道,沉积方式可使用化学气相沉积工艺、物理气相沉积工艺或两者的结合或是其他类型的沉积工艺。导体材料1102可以是掺杂多晶硅(p+型或n+型掺杂)或是金属材料。在一些实施例中,可以对导体材料进行从第二绝缘材料402表面移除导体材料1102的平坦化工艺,以形成多个镶嵌导体(例如是栅极结构),在三维存储装置中,镶嵌导体通过第二绝缘材料达到电性绝缘和物理性绝缘。
图11、图11A、图11B和图11C绘示如图10、图10A、图10B和图10C所示的结构于进行导体材料1102的沉积以及从第二绝缘材料402表面移除导体材料1102之后的阶段所形成的结构,其中包括有源层204(例如是记忆层)。图11绘示交叉设置于叠层206、207之上以作为字线的导体材料条1102的平面图。导体材料1102可以为半导体材料例如是多晶硅材料,多晶硅材料根据实施的态样而具有合适的不纯物特性(p+型或n+型)。根据实行方式,硅化物(图未绘示)(例如是硅化钴、硅化镍、硅化钨、硅化钛或是其他种类)可以形成在多晶硅材料上以改善多晶硅材料的导电性。在反熔丝应用中,多晶硅导体材料1102应该具有相反于间隔开的有源条叠层106(例如是206、207)中的多晶硅有源材料204的极性。在一些实施例中,导体材料1102可以是一导电性材料,例如是金属材料或是多种材料的组合。图11A绘示在字线之间沿着x轴方向的剖面图,其中具有上盖层208的叠层和位在叠层206、207之间的第二绝缘材料402将字线隔开且,如图示般地延伸于绝缘层203的表面。进一步绘示于图11和图11A中,第二绝缘材料402是沿着内衬302排列。图11B绘示在x轴方向沿着字线的剖面图,图中导体材料1102形成一连续的镶嵌导体并交叉设置在叠层和上盖材料208之上。
图11C是在y轴方向位于叠层106(例如是206、207)之间沿着剖面线C-C的剖面图,图中绘示位于叠层106之间的导体材料,导体材料延伸至在衬底202之上的绝缘层203且通过第二绝缘材料402隔开成为字线。如同前述,镶嵌导体材料1102可具有接近垂直且邻接于第二绝缘材料402的壁706。
图12是一简化的流程图1200,例如是形成一导体结构的方法,例如用于三维存储装置中的栅极结构的形成方法。方法包括下述步骤。
步骤1204:提供多个间隔开的有源条叠层于一衬底上,且有源条上覆盖的存储元件例如是一介电电荷捕捉结构。
步骤1206:沉积包括内衬材料的一内衬302在间隔开的有源条叠层206、207之上。在一实施例中,内衬是用PE-CVD沉积的氧化硅材料。
步骤1208:为了一镶嵌工艺而沉积一绝缘填充材料402在多个间隔开的有源条叠层206、207之上与之间,绝缘填充材料具有低于内衬302的一刻蚀速率。在一实施例中,绝缘填充材料是热沉积的TEOS氧化物,TEOS氧化物在BOE化学中具有比PE-CVD沉积的氧化硅内衬低约三分之一的刻蚀速率。
步骤1210:在绝缘材料402中形成多个开口或沟道702,且在暴露于开口和开口底部及角落的间隔开的有源条叠层的至少一侧壁留下残余物。
步骤1212:使用放射线弱化内衬的残余物,放射线例如是能量化的粒子802,可使得弱化的内衬残余物能更容易的移除。
步骤1214:以例如是湿刻蚀工艺(举例来说是BOE工艺)选择性地移除弱化的内衬,同时并保留绝缘填充材料402和有源条叠层206、207,藉此留下绝缘填充内和交叉设置于叠层206、207之上的沟道。
步骤1216:沉积导体材料(例如是栅极材料)1102以填充于沟道702和绝缘填充材料表面之上。
步骤1218:从绝缘填充材料402表面移除导体材料402,以在镶嵌导体和有源条的交叉点上形成存储元件。
步骤1220:进行其他后段工艺以完成集成电路的构建。
上述一连串的步骤提供形成多个高长宽比的导体结构(举例来说是栅极结构)的方法,导体结构用在具有多个间隔开的有源条叠层的三维存储装置中。高长宽比的导体结构可以作为镶嵌导体结构。每个高长宽比的导体结构是设置在高长宽比的沟道中,高长宽比的导体结构具有相对垂直的壁延伸至位在衬底202上的绝缘层203且有相对平坦的角落。高长宽比的导体结构是由使用两步骤材料填充和与间隔开的有源条叠层互补相符的工艺(例如是镶嵌工艺)来形成。此外,间隔开的有源条叠层的侧面是维持住。
本发明内容所述的方法是参照三维存储装置来描述。实际应用上,本发明内容所述的方法亦可应用在具有实质上垂直侧壁的一导体结构,且此导体结构位于多个具有高长宽比且互补于多个具有高长宽比的脊状结构的沟道中。脊状结构可包括多个间隔开的由单一材料或多种材料制成的叠层。脊状结构的侧面轮廓在形成沟道的两步骤刻蚀工艺中是维持住的。
在不同的多个实施例中,本发明内容提供一半导体装置。半导体装置包括一衬底,衬底具有形成于其上的多个间隔开的材料叠层,例如是有源层材料。具有内衬的绝缘填充材料覆盖在多个间隔开的有源层叠层之上,且包括一导体材料的多个导体是设置在沟道中并穿透绝缘填充材料。在不同的多个实施例中,每个沟道包括绝缘填充材料的一侧壁,侧壁相对于衬底的一表面是实质上垂直。举例来说,侧壁相对于衬底表面具有的角度范围可以是从约为垂直至约为95度角、从约为垂直至约为92度角或从约为垂直至约为95度角。根据实行方式,多个导体可用作为镶嵌结构。在不同的多个实施例中,在沟道内的内衬系实质上完全地移除。在一个选择的刻蚀工艺(举例来说,例如是湿刻蚀工艺,例如可以是缓冲氧化刻蚀)中,内衬的材料具有比绝缘填充材料快约三倍的刻蚀速率。在一些实行方式中,内衬可以是第一氧化物,而绝缘填充材料可以是第二氧化物。第一氧化物可由等离子体辅助化学气相沉积工艺来形成,而第二氧化物可由使用TEOS作为前驱物的化学气相沉积来形成。半导体装置可包括一存储材料和多个存储元件,存储材料位于各个间隔开的有源层叠层上方,存储元件位在有源层和导体层之间的多个交叉点。在不同实施例中,导体结构可以是镶嵌结构。根据应用方式,用于介电电荷储存结构的存储器材料可包括至少一电荷储存介电材料或其他类型的存储材料(举例来说是电阻存储材料)。在不同的多个实施例中,导体结构(举例来说作为镶嵌结构)可使用例如是如图2到图12所示的工艺步骤来制造。
综上所述,虽然本发明已以较佳实施例和详细的范例揭露如上,然其并非用以限定本发明。本发明所属技术领域中具有通常知识者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰。因此,本发明的保护范围当视随附的权利要求范围所界定的为准。

Claims (25)

1.一种在三维电路中形成导体的方法,包括:
提供一衬底,该衬底具有多个间隔开的有源条(active strips)叠层;
沉积一内衬(lining)于这些间隔开的有源条叠层之上;
沉积一绝缘填充材料于该内衬之上、这些间隔开的有源条叠层之上与之间;
以一第一刻蚀工艺形成多个沟道于该绝缘填充材料内,这些沟道交错排列在这些间隔开的有源条叠层之上;
以一第二刻蚀工艺移除暴露在这些沟道内的该内衬;以及
以一导体或一半导体材料填充这些沟道以形成多个镶嵌导体结构。
2.根据权利要求1所述的方法,其中在该第二刻蚀工艺中,该内衬具有比该绝缘填充材料快三倍的一刻蚀速率。
3.根据权利要求1所述的方法,其中该内衬包括一第一硅氧化物,该绝缘填充材料包括一第二硅氧化物。
4.根据权利要求1所述的方法,其中所述的沉积该内衬的步骤包括以一等离子体辅助化学气相沉积法(PECVD)形成一硅氧化物。
5.根据权利要求1所述的方法,其中所述的沉积该绝缘填充材料的步骤包括采用四乙基正硅酸盐(TEOS)以施行一化学气相沉积步骤。
6.根据权利要求1所述的方法,其中该第二刻蚀工艺包括一缓冲氧化刻蚀。
7.根据权利要求1所述的方法,其中该第二刻蚀工艺包括以放射线先弱化该内衬任何的残余物,然后再刻蚀该内衬。
8.根据权利要求7所述的方法,包括以一离子注入器传递该放射线。
9.根据权利要求8所述的方法,其中该放射线包括一惰性气体的多个能量化的粒子(energized particles)。
10.根据权利要求1所述的方法,其中该第二刻蚀工艺包括一缓冲氧化刻蚀工艺,该缓冲氧化刻蚀工艺使用一氢氟酸缓冲剂(bufferedhydrofluoric acid),该氢氟酸缓冲剂包括含铵(NH4 +)成分,含氟(F-)成分,含氢离子(H+)成分,和含氢氧离子(OH-)成分。
11.根据权利要求1所述的方法,其中在该第二刻蚀工艺中,该内衬相对于该绝缘填充材料的一刻蚀选择率是大于3。
12.一种根据权利要求1所述的方法制造的半导体装置。
13.一种半导体装置,包括:
一衬底,具有多个间隔开的有源条叠层;
一绝缘填充材料,具有一内衬位于这些间隔开的有源条叠层之上;以及
多个镶嵌结构,包括一导体材料设置在穿透该绝缘填充材料的多个沟道中,其中在这些沟道中的该内衬是完全地被移除,该内衬包括一材料,该材料在一选择性的刻蚀工艺中具有比该绝缘填充材料快三倍的一刻蚀速率。
14.根据权利要求13所述的半导体装置,其中该内衬包括一第一氧化硅,该绝缘填充材料包括一第二氧化硅。
15.根据权利要求13所述的半导体装置,其中该内衬包括一氧化硅,该氧化硅是经由一等离子体辅助化学气相沉积法形成。
16.根据权利要求13所述的装置,其中该绝缘填充材料包括一氧化硅,该氧化硅是经由一化学气相沉积法形成,该化学气相沉积法使用四乙基正硅酸盐(TEOS)。
17.根据权利要求13所述的装置,其中该选择性的刻蚀工艺包括一缓冲氧化刻蚀。
18.一种形成半导体装置的方法,包括:
提供一衬底及多个间隔开的叠层,这些叠层的材料具有一上覆的内衬(overlying lining)在该衬底之上;
形成一绝缘填充材料于具有该上覆的内衬的这些间隔开的叠层之间;以及
形成一导体于该绝缘填充材料之间的多个沟道内,这些沟道没有该内衬;
其中该内衬包括一材料,该材料在一选择性的刻蚀工艺中具有比该绝缘填充材料快三倍的一刻蚀速率。
19.根据权利要求18所述的方法,其中这些沟道各自包括该绝缘填充材料的一垂直暴露表面,以及在该垂直暴露表面与该衬底的一表面之间包括一角度,该角度是垂直至95度。
20.根据权利要求18所述的方法,其中这些沟道各自包括该绝缘填充材料的一垂直暴露表面,以及在该垂直暴露表面与该衬底的一表面之间包括一角度,该角度是垂直至92度。
21.根据权利要求18所述的方法,其中这些沟道各自包括该绝缘填充材料的一垂直暴露表面,以及在该垂直暴露表面与该衬底的一表面之间包括一角度,该角度是垂直至91度。
22.一种半导体装置,包括:
一衬底及多个间隔开的叠层在该衬底之上;
一绝缘填充材料位于这些间隔开的叠层之间;以及
一导体材料于该绝缘填充材料之间的多个沟道内;
其中这些沟道各自具有该绝缘填充材料的一侧壁,该侧壁是由一倾斜的侧面来定义,该倾斜的侧面包括在该侧壁与该衬底的一表面之间的一角度,该角度是垂直至95度。
23.根据权利要求22所述的装置,其中在该侧壁与该衬底的该表面之间的该角度是垂直至91度。
24.根据权利要求22所述的装置,其中在该侧壁与该衬底的该表面之间的该角度是垂直至92度。
25.根据权利要求22所述的装置,其中在一选择性的刻蚀工艺中,该内衬具有比该绝缘填充材料快三倍的一刻蚀速率。
CN201310322997.8A 2013-03-14 2013-07-29 用于三维装置的镶嵌式导体的半导体装置及其形成方法 Active CN104051347B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361781015P 2013-03-14 2013-03-14
US61/781,015 2013-03-14

Publications (2)

Publication Number Publication Date
CN104051347A true CN104051347A (zh) 2014-09-17
CN104051347B CN104051347B (zh) 2017-03-01

Family

ID=51504016

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310322997.8A Active CN104051347B (zh) 2013-03-14 2013-07-29 用于三维装置的镶嵌式导体的半导体装置及其形成方法

Country Status (3)

Country Link
US (1) US9379126B2 (zh)
CN (1) CN104051347B (zh)
TW (1) TWI509746B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108630696A (zh) * 2017-03-15 2018-10-09 旺宏电子股份有限公司 半导体结构的形成方法和藉此形成的半导体结构
TWI638430B (zh) * 2017-03-10 2018-10-11 旺宏電子股份有限公司 半導體結構的形成方法和藉此形成的半導體結構
US10134754B2 (en) 2017-03-13 2018-11-20 Macronix International Co., Ltd. Method for forming a 3-D memory device and the 3-D memory device formed thereby

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9449821B2 (en) * 2014-07-17 2016-09-20 Macronix International Co., Ltd. Composite hard mask etching profile for preventing pattern collapse in high-aspect-ratio trenches
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
TWI581314B (zh) * 2015-03-20 2017-05-01 旺宏電子股份有限公司 半導體裝置及其製造方法
WO2017153193A1 (en) * 2016-03-11 2017-09-14 Imec Vzw Method for providing a target layer surrounding a vertical nanostructure
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
SG11202111703YA (en) * 2019-06-28 2021-11-29 Yangtze Memory Technologies Co Ltd Methods of semiconductor device fabrication
TWI747369B (zh) * 2019-07-09 2021-11-21 美商森恩萊斯記憶體公司 水平反或閘記憶體串之三維陣列製程
US11917821B2 (en) 2019-07-09 2024-02-27 Sunrise Memory Corporation Process for a 3-dimensional array of horizontal nor-type memory strings
KR20210104348A (ko) * 2020-02-17 2021-08-25 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
TWI817319B (zh) * 2022-01-13 2023-10-01 旺宏電子股份有限公司 三維and快閃記憶體元件及其製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060223297A1 (en) * 2005-03-31 2006-10-05 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20090166723A1 (en) * 2007-12-26 2009-07-02 Hynix Semiconductor Inc. Semiconductor device with vertical channel transistor and low sheet resistance and method for fabricating the same
CN101826545A (zh) * 2009-03-03 2010-09-08 旺宏电子股份有限公司 集成电路自对准三度空间存储阵列及其制作方法
US20120181580A1 (en) * 2011-01-18 2012-07-19 Macronix International Co., Ltd. Semiconductor Structure and Manufacturing Method of the Same

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4217601A (en) 1979-02-15 1980-08-12 International Business Machines Corporation Non-volatile memory devices fabricated from graded or stepped energy band gap insulator MIM or MIS structure
JPS5955071A (ja) 1982-09-24 1984-03-29 Hitachi Micro Comput Eng Ltd 不揮発性半導体装置
JPH0555596A (ja) 1991-08-22 1993-03-05 Rohm Co Ltd 半導体不揮発性記憶装置
JPH0582795A (ja) 1991-08-22 1993-04-02 Rohm Co Ltd 半導体記憶装置
US5773335A (en) * 1996-08-20 1998-06-30 United Microelectronics Corp. Method for forming twin-tub wells in substrate
EP0843360A1 (en) 1996-11-15 1998-05-20 Hitachi Europe Limited Memory device
JPH1140682A (ja) 1997-07-18 1999-02-12 Sony Corp 不揮発性半導体記憶装置及びその製造方法
US6768165B1 (en) 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US5851881A (en) 1997-10-06 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making monos flash memory for multi-level logic
FR2770328B1 (fr) 1997-10-29 2001-11-23 Sgs Thomson Microelectronics Point memoire remanent
JPH11150195A (ja) 1997-11-19 1999-06-02 Nec Corp 半導体装置及びその製造方法
US6026026A (en) 1997-12-05 2000-02-15 Hyundai Electronics America, Inc. Self-convergence of post-erase threshold voltages in a flash memory cell using transient response
JPH11177067A (ja) 1997-12-09 1999-07-02 Sony Corp メモリ素子およびメモリアレイ
DE19756601A1 (de) 1997-12-18 1999-07-01 Siemens Ag Verfahren zum Herstellen eines Speicherzellen-Arrays
US6074917A (en) 1998-06-16 2000-06-13 Advanced Micro Devices, Inc. LPCVD oxide and RTA for top oxide of ONO film to improve reliability for flash memory devices
KR100294691B1 (ko) 1998-06-29 2001-07-12 김영환 다중층양자점을이용한메모리소자및제조방법
US6034882A (en) 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
KR20010056888A (ko) 1999-12-17 2001-07-04 박종섭 반도체 메모리 제조방법
US6420752B1 (en) 2000-02-11 2002-07-16 Advanced Micro Devices, Inc. Semiconductor device with self-aligned contacts using a liner oxide layer
US6677640B1 (en) 2000-03-01 2004-01-13 Micron Technology, Inc. Memory cell with tight coupling
KR100821456B1 (ko) 2000-08-14 2008-04-11 샌디스크 쓰리디 엘엘씨 밀집한 어레이 및 전하 저장 장치와, 그 제조 방법
US6720630B2 (en) 2001-05-30 2004-04-13 International Business Machines Corporation Structure and method for MOSFET with metallic gate electrode
JP4901048B2 (ja) 2001-06-28 2012-03-21 三星電子株式会社 浮遊トラップ型不揮発性メモリ素子
US6891262B2 (en) 2001-07-19 2005-05-10 Sony Corporation Semiconductor device and method of producing the same
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
KR100395762B1 (ko) 2001-07-31 2003-08-21 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조방법
KR100407573B1 (ko) 2001-08-09 2003-11-28 삼성전자주식회사 부유 트랩형 비휘발성 메모리 장치 형성 방법
JP2003068893A (ja) 2001-08-28 2003-03-07 Hitachi Ltd 不揮発性記憶素子及び半導体集積回路
US7012297B2 (en) 2001-08-30 2006-03-14 Micron Technology, Inc. Scalable flash/NV structures and devices with extended endurance
US7476925B2 (en) 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
DE10143235A1 (de) * 2001-09-04 2003-03-27 Infineon Technologies Ag Halbleiterspeicherelement, Halbleiterspeicherelement-Anordnung, Verfahren zum Herstellen eines Halbleiterspeicherelementes und Verfahren zum Betreiben eines Halbleiterspeicherelementes
KR100402390B1 (ko) * 2001-10-05 2003-10-17 삼성전자주식회사 다층 터널접합층을 갖는 반도체 기억장치 및 그 제조방법
US6512696B1 (en) 2001-11-13 2003-01-28 Macronix International Co., Ltd. Method of programming and erasing a SNNNS type non-volatile memory cell
US7115469B1 (en) 2001-12-17 2006-10-03 Spansion, Llc Integrated ONO processing for semiconductor devices using in-situ steam generation (ISSG) process
US6605840B1 (en) 2002-02-07 2003-08-12 Ching-Yuan Wu Scalable multi-bit flash memory cell and its memory array
US6784480B2 (en) 2002-02-12 2004-08-31 Micron Technology, Inc. Asymmetric band-gap engineered nonvolatile memory device
TW527704B (en) 2002-03-19 2003-04-11 Macronix Int Co Ltd Mask ROM structure and its manufacturing method
US6906361B2 (en) 2002-04-08 2005-06-14 Guobiao Zhang Peripheral circuits of electrically programmable three-dimensional memory
US7042045B2 (en) 2002-06-04 2006-05-09 Samsung Electronics Co., Ltd. Non-volatile memory cell having a silicon-oxide nitride-oxide-silicon gate structure
US7081377B2 (en) 2002-06-27 2006-07-25 Sandisk 3D Llc Three-dimensional memory
US6862223B1 (en) 2002-07-05 2005-03-01 Aplus Flash Technology, Inc. Monolithic, combo nonvolatile memory allowing byte, page and block write with no disturb and divided-well in the cell array using a unified cell structure and technology with a new scheme of decoder and layout
US6897533B1 (en) 2002-09-18 2005-05-24 Advanced Micro Devices, Inc. Multi-bit silicon nitride charge-trapping non-volatile memory cell
KR100446632B1 (ko) 2002-10-14 2004-09-04 삼성전자주식회사 비휘발성 sonsnos 메모리
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US6912163B2 (en) 2003-01-14 2005-06-28 Fasl, Llc Memory device having high work function gate and method of erasing same
US6815764B2 (en) 2003-03-17 2004-11-09 Samsung Electronics Co., Ltd. Local SONOS-type structure having two-piece gate and self-aligned ONO and method for manufacturing the same
US6879505B2 (en) 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US7459715B2 (en) 2003-04-03 2008-12-02 Kabushiki Kaisha Toshiba Resistance change memory device
WO2004090984A1 (en) 2003-04-03 2004-10-21 Kabushiki Kaisha Toshiba Phase change memory device
KR100553687B1 (ko) 2003-05-29 2006-02-24 삼성전자주식회사 축소가능한 2개의 트랜지스터 기억 소자 및 그 형성방법
JP4040534B2 (ja) 2003-06-04 2008-01-30 株式会社東芝 半導体記憶装置
US7115942B2 (en) 2004-07-01 2006-10-03 Chih-Hsin Wang Method and apparatus for nonvolatile memory
US20040256679A1 (en) 2003-06-17 2004-12-23 Hu Yongjun J. Dual work function metal gates and method of forming
US7236394B2 (en) 2003-06-18 2007-06-26 Macronix International Co., Ltd. Transistor-free random access memory
KR20050011203A (ko) 2003-07-22 2005-01-29 주식회사 하이닉스반도체 반도체소자의 플러그 형성방법
KR100562743B1 (ko) 2003-10-06 2006-03-21 동부아남반도체 주식회사 플래시 메모리 소자의 제조방법
DE20321085U1 (de) 2003-10-23 2005-12-29 Commissariat à l'Energie Atomique Phasenwechselspeicher, Phasenwechselspeicheranordnung, Phasenwechselspeicherzelle, 2D-Phasenwechselspeicherzellen-Array, 3D-Phasenwechselspeicherzellen-Array und Elektronikbaustein
KR100579844B1 (ko) 2003-11-05 2006-05-12 동부일렉트로닉스 주식회사 비휘발성 메모리 소자 및 그 제조방법
US7115509B2 (en) 2003-11-17 2006-10-03 Micron Technology, Inc. Method for forming polysilicon local interconnects
JP2005197624A (ja) 2004-01-09 2005-07-21 Genusion:Kk 不揮発性記憶装置
US7151692B2 (en) 2004-01-27 2006-12-19 Macronix International Co., Ltd. Operation scheme for programming charge trapping non-volatile memory
US6906940B1 (en) 2004-02-12 2005-06-14 Macronix International Co., Ltd. Plane decoding method and device for three dimensional memories
US7075828B2 (en) 2004-04-26 2006-07-11 Macronix International Co., Intl. Operation scheme with charge balancing erase for charge trapping non-volatile memory
US7187590B2 (en) 2004-04-26 2007-03-06 Macronix International Co., Ltd. Method and system for self-convergent erase in charge trapping memory cells
US7164603B2 (en) 2004-04-26 2007-01-16 Yen-Hao Shih Operation scheme with high work function gate and charge balancing for charge trapping non-volatile memory
US7133313B2 (en) 2004-04-26 2006-11-07 Macronix International Co., Ltd. Operation scheme with charge balancing for charge trapping non-volatile memory
US7209390B2 (en) 2004-04-26 2007-04-24 Macronix International Co., Ltd. Operation scheme for spectrum shift in charge trapping non-volatile memory
US7133316B2 (en) 2004-06-02 2006-11-07 Macronix International Co., Ltd. Program/erase method for P-channel charge trapping memory device
US7190614B2 (en) 2004-06-17 2007-03-13 Macronix International Co., Ltd. Operation scheme for programming charge trapping non-volatile memory
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
JP2006120834A (ja) 2004-10-21 2006-05-11 Disco Abrasive Syst Ltd ウェーハの分割方法
US20060097341A1 (en) 2004-11-05 2006-05-11 Fabio Pellizzer Forming phase change memory cell with microtrenches
JP4928773B2 (ja) 2004-12-10 2012-05-09 株式会社東芝 半導体装置
US20060198189A1 (en) 2005-01-03 2006-09-07 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7315474B2 (en) 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7642585B2 (en) 2005-01-03 2010-01-05 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US8264028B2 (en) 2005-01-03 2012-09-11 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
JP2005184029A (ja) 2005-02-18 2005-07-07 Renesas Technology Corp 不揮発性記憶素子及び半導体集積回路装置
US8824205B2 (en) 2005-04-11 2014-09-02 Micron Technology, Inc. Non-volatile electronic memory device with NAND structure being monolithically integrated on semiconductor
US7279740B2 (en) 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7612403B2 (en) 2005-05-17 2009-11-03 Micron Technology, Inc. Low power non-volatile memory and gate stack
US7636257B2 (en) 2005-06-10 2009-12-22 Macronix International Co., Ltd. Methods of operating p-channel non-volatile memory devices
US7829938B2 (en) 2005-07-14 2010-11-09 Micron Technology, Inc. High density NAND non-volatile memory device
US7468299B2 (en) 2005-08-04 2008-12-23 Macronix International Co., Ltd. Non-volatile memory cells and methods of manufacturing the same
US7576386B2 (en) 2005-08-04 2009-08-18 Macronix International Co., Ltd. Non-volatile memory semiconductor device having an oxide-nitride-oxide (ONO) top dielectric layer
US7629641B2 (en) 2005-08-31 2009-12-08 Micron Technology, Inc. Band engineered nano-crystal non-volatile memory device utilizing enhanced gate injection
US7420242B2 (en) 2005-08-31 2008-09-02 Macronix International Co., Ltd. Stacked bit line dual word line nonvolatile memory
US8846549B2 (en) 2005-09-27 2014-09-30 Macronix International Co., Ltd. Method of forming bottom oxide for nitride flash memory
JP4476919B2 (ja) 2005-12-01 2010-06-09 株式会社東芝 不揮発性記憶装置
US20070253233A1 (en) 2006-03-30 2007-11-01 Torsten Mueller Semiconductor memory device and method of production
US7622389B1 (en) * 2006-04-25 2009-11-24 Spansion Llc Selective contact formation using masking and resist patterning techniques
JP2008078404A (ja) 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
KR101169396B1 (ko) 2006-12-22 2012-07-30 삼성전자주식회사 비휘발성 메모리 소자 및 그 동작 방법
JP4945248B2 (ja) 2007-01-05 2012-06-06 株式会社東芝 メモリシステム、半導体記憶装置及びその駆動方法
JP5091491B2 (ja) 2007-01-23 2012-12-05 株式会社東芝 不揮発性半導体記憶装置
US7382647B1 (en) 2007-02-27 2008-06-03 International Business Machines Corporation Rectifying element for a crosspoint based memory array architecture
US20080285350A1 (en) 2007-05-18 2008-11-20 Chih Chieh Yeh Circuit and method for a three dimensional non-volatile memory
US7995371B2 (en) 2007-07-26 2011-08-09 Unity Semiconductor Corporation Threshold device for a memory array
KR20090037690A (ko) 2007-10-12 2009-04-16 삼성전자주식회사 비휘발성 메모리 소자, 그 동작 방법 및 그 제조 방법
US7848148B2 (en) 2007-10-18 2010-12-07 Macronix International Co., Ltd. One-transistor cell semiconductor on insulator random access memory
KR20090079694A (ko) 2008-01-18 2009-07-22 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP5288877B2 (ja) 2008-05-09 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
JP2009295694A (ja) 2008-06-03 2009-12-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8199576B2 (en) 2009-04-08 2012-06-12 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a double-global-bit-line architecture
US8829646B2 (en) 2009-04-27 2014-09-09 Macronix International Co., Ltd. Integrated circuit 3D memory array and manufacturing method
KR101635504B1 (ko) 2009-06-19 2016-07-04 삼성전자주식회사 3차원 수직 채널 구조를 갖는 불 휘발성 메모리 장치의 프로그램 방법
KR101028993B1 (ko) * 2009-06-30 2011-04-12 주식회사 하이닉스반도체 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
US8508997B2 (en) 2009-12-23 2013-08-13 Intel Corporation Multi-cell vertical memory nodes
JP5248541B2 (ja) 2010-03-05 2013-07-31 株式会社東芝 半導体記憶装置の動作方法
KR101761366B1 (ko) * 2010-06-22 2017-07-25 삼성전자주식회사 3차원 반도체 장치의 형성 방법
US8890233B2 (en) 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
KR101113765B1 (ko) 2010-12-31 2012-02-27 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
US8432719B2 (en) 2011-01-18 2013-04-30 Macronix International Co., Ltd. Three-dimensional stacked and-type flash memory structure and methods of manufacturing and operating the same hydride
US20130003434A1 (en) 2011-01-18 2013-01-03 Macronix International Co., Ltd. Method for operating a semiconductor structure
US8811077B2 (en) 2011-01-19 2014-08-19 Macronix International Co., Ltd. Memory architecture of 3D array with improved uniformity of bit line capacitances
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US8503213B2 (en) 2011-01-19 2013-08-06 Macronix International Co., Ltd. Memory architecture of 3D array with alternating memory string orientation and string select structures
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8363476B2 (en) * 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US9018692B2 (en) 2011-01-19 2015-04-28 Macronix International Co., Ltd. Low cost scalable 3D memory
US8609554B2 (en) 2011-01-19 2013-12-17 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US8605495B2 (en) 2011-05-09 2013-12-10 Macronix International Co., Ltd. Isolation device free memory
US8691673B2 (en) * 2011-05-25 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with suppressed STI dishing effect at resistor region
US20120327714A1 (en) 2011-06-23 2012-12-27 Macronix International Co., Ltd. Memory Architecture of 3D Array With Diode in Memory String
US8637908B2 (en) 2011-07-22 2014-01-28 International Business Machines Corporation Borderless contacts in semiconductor devices
US9082656B2 (en) * 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
US8951862B2 (en) 2012-01-10 2015-02-10 Macronix International Co., Ltd. Damascene word line
US9117515B2 (en) 2012-01-18 2015-08-25 Macronix International Co., Ltd. Programmable metallization cell with two dielectric layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060223297A1 (en) * 2005-03-31 2006-10-05 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20090166723A1 (en) * 2007-12-26 2009-07-02 Hynix Semiconductor Inc. Semiconductor device with vertical channel transistor and low sheet resistance and method for fabricating the same
CN101826545A (zh) * 2009-03-03 2010-09-08 旺宏电子股份有限公司 集成电路自对准三度空间存储阵列及其制作方法
US20120181580A1 (en) * 2011-01-18 2012-07-19 Macronix International Co., Ltd. Semiconductor Structure and Manufacturing Method of the Same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI638430B (zh) * 2017-03-10 2018-10-11 旺宏電子股份有限公司 半導體結構的形成方法和藉此形成的半導體結構
US10134754B2 (en) 2017-03-13 2018-11-20 Macronix International Co., Ltd. Method for forming a 3-D memory device and the 3-D memory device formed thereby
CN108630696A (zh) * 2017-03-15 2018-10-09 旺宏电子股份有限公司 半导体结构的形成方法和藉此形成的半导体结构
CN108630696B (zh) * 2017-03-15 2020-07-28 旺宏电子股份有限公司 半导体结构的形成方法和藉此形成的半导体结构

Also Published As

Publication number Publication date
US20140264897A1 (en) 2014-09-18
TW201436108A (zh) 2014-09-16
TWI509746B (zh) 2015-11-21
CN104051347B (zh) 2017-03-01
US9379126B2 (en) 2016-06-28

Similar Documents

Publication Publication Date Title
CN104051347A (zh) 用于三维装置的镶嵌式导体的半导体装置及其形成方法
US20230016627A1 (en) Joint opening structures of three-dimensional memory devices and methods for forming the same
CN109155316B (zh) 3d存储器中的堆叠连接件及其制造方法
US8298931B2 (en) Dual damascene with amorphous carbon for 3D deep via/trench application
CN106024794B (zh) 半导体器件及其制造方法
CN108538846B (zh) 在堆叠体开口中形成存储器单元薄膜
US8389399B2 (en) Method of fabricating a self-aligning damascene memory structure
KR101531800B1 (ko) 수직 메모리 셀
CN110088905A (zh) 用于三维存储器器件中直接源极接触的灯泡形存储器堆叠结构
CN106952919B (zh) 快闪存储器及其制作方法
CN111527605B (zh) 三维存储器件及其制造方法
TW201232701A (en) Multilayer connection structure and making method
TWI553776B (zh) 3d陣列的大馬士革導體
US11844216B2 (en) Three-dimensional memory devices and fabricating methods thereof
CN109390348A (zh) 3d存储器件及其制造方法
CN110277404A (zh) 3d存储器件及其制造方法
CN104269404B (zh) 三维存储器及制备方法
CN104051331B (zh) 3d阵列的大马士革半导体装置及其形成方法
CN110391174A (zh) 制造具有含有多个沟槽的结构图案的半导体器件的方法
US20090321805A1 (en) Insulator material over buried conductive line
CN106611709B (zh) 一种半导体器件及其制备方法、电子装置
CN104795396A (zh) 快闪存储器及其制造方法
TWI480979B (zh) 積體電路裝置及其製造方法
CN105304571B (zh) 记忆元件的制造方法
US20210159184A1 (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant