CN102456730B - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN102456730B
CN102456730B CN201110326799.XA CN201110326799A CN102456730B CN 102456730 B CN102456730 B CN 102456730B CN 201110326799 A CN201110326799 A CN 201110326799A CN 102456730 B CN102456730 B CN 102456730B
Authority
CN
China
Prior art keywords
semiconductor layer
dielectric film
diaphragm
film
metal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110326799.XA
Other languages
English (en)
Other versions
CN102456730A (zh
Inventor
尾崎史朗
金村雅仁
中村哲一
宫岛丰生
武田正行
渡部庆二
吉川俊英
今西健治
多木俊裕
今田忠纮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Publication of CN102456730A publication Critical patent/CN102456730A/zh
Application granted granted Critical
Publication of CN102456730B publication Critical patent/CN102456730B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种半导体器件及其制造方法,该半导体器件包括:第一半导体层,形成在衬底上;第二半导体层,形成在所述第一半导体层上;源电极和漏电极,形成在所述第二半导体层上;绝缘膜,形成在所述第二半导体层上;栅电极,形成在所述绝缘膜上;以及保护膜,覆盖所述绝缘膜,所述保护膜是通过热CVD、热ALD或真空气相沉积形成的。利用本发明,在于栅电极与半导体层之间插入了绝缘膜、并且覆盖有绝缘保护膜的半导体器件中,能够维持足够程度的绝缘强度。

Description

半导体器件及其制造方法
技术领域
本文讨论的实施例涉及一种半导体器件及其制造方法。
背景技术
已知使用GaN层作为电子渡越层(electrontransitlayer)的AlGaN/GaN异质结场效应晶体管。GaN是宽带隙材料,具有高击穿电压和高饱和电子速度。GaN是一种可以实现高电流、高电压及低导通电阻半导体器件的有前途的材料。因此,对于作为下一代高性能开关器件的基于GaN的半导体器件已经进行了许多调查研究。
通常来讲,在诸如场效应晶体管的半导体器件中,绝缘膜形成在器件(例如场效应晶体管)的整个表面上,其目的是为了在制造完栅电极或漏电极之后进行钝化。
为了实现使用功率晶体管的高性能开关器件,期望在实现开关器件的常关动作(normally-offbehavior)和高击穿电压的同时降低导通电阻。可以通过改善GaN晶体质量(crystalquality)和/或改善晶体管中使用的材料的晶体质量来实现低导通电阻和常关动作。另一方面,通常来讲,由于根据应用而需要几百伏到几千伏的绝缘强度(dielectricstrength),因而使用肖特基(Schottky)栅结构的开关器件难以实现高击穿电压。为了解决这一问题,提出在栅电极与半导体层之间插入绝缘膜来减小栅极泄漏(leakage)电流并强化绝缘强度。
对于栅电极与半导体层之间插入了绝缘膜的晶体管,还设置有绝缘材料的保护膜或钝化膜。然而,保护膜可能降低晶体管的绝缘强度,并从而可能无法达到足够程度的绝缘强度。
因此,对于在栅电极与半导体层之间设置了绝缘膜的半导体器件(例如晶体管),期望达到足够的绝缘强度。
现有技术文献:
专利文献1:日本特许专利公开号2008-103408
专利文献2:美国专利公开号2006/0019435A1
发明内容
根据本公开文件的一个方面,一种半导体器件包括:第一半导体层,形成在衬底上;第二半导体层,形成在所述第一半导体层上;源电极和漏电极,形成在所述第二半导体层上;绝缘膜,形成在所述第二半导体层上;栅电极,形成在所述绝缘膜上;以及保护膜,覆盖所述绝缘膜,所述保护膜是通过热CVD、热ALD或真空气相沉积形成的。
根据本公开文件的另一个方面,一种半导体器件包括:第一半导体层,形成在衬底上;第二半导体层,形成在所述第一半导体层上;源电极和漏电极,形成在所述第二半导体层上;凹陷(recess),形成在所述第二半导体层中,或形成在所述第二半导体层和部分所述第一半导体层中;绝缘膜,形成在所述第二半导体层上和所述凹陷中;栅电极,形成在所述凹陷内的所述绝缘膜上;以及保护膜,覆盖所述绝缘膜,所述保护膜是通过热CVD、热ALD或真空气相沉积形成的。
根据本公开文件的又一个方面,提供了一种半导体器件制造方法。该方法包括:
在衬底上依序形成第一半导体层和第二半导体层;
在所述第二半导体层上形成源电极和漏电极;
在所述第二半导体层上形成绝缘膜;
在所述绝缘膜上形成栅电极;以及
通过热CVD、热ALD或真空气相沉积形成保护膜,以覆盖所述绝缘膜。
根据本公开文件的再一个方面,一种半导体器件制造方法包括:
在衬底上依序形成第一半导体层和第二半导体层;
在所述第二半导体层上形成源电极和漏电极;
在所述第二半导体层中形成凹陷;
在所述第二半导体层上和所述凹陷中形成绝缘膜;
在所述凹陷内的所述绝缘膜上形成栅电极;以及
通过热CVD、热ALD或真空气相沉积形成保护膜,以覆盖所述绝缘膜。
采用前述结构和方法,在于栅电极与半导体层之间具有绝缘膜的晶体管中,能够维持足够程度的绝缘强度。
附图说明
图1是示出了覆盖有保护膜的高电子迁移率晶体管(HEMT)的剖面结构的示意图;
图2是示出了具有通过等离子体CVD方法形成的保护膜的HEMT的栅极电流特性的曲线图;
图3是示出了没有保护膜的HEMT的栅极电流特性的曲线图;
图4是示出了通过等离子体CVD方式形成保护膜的示意图;
图5A示出了根据实施例一的半导体器件制造方法中的剖视图;
图5B示出了根据实施例一的半导体器件制造方法中的剖视图;
图5C示出了根据实施例一的半导体器件制造方法中的剖视图;
图5D示出了根据实施例一的半导体器件制造方法中的剖视图;
图5E示出了根据实施例一的半导体器件制造方法中的剖视图;
图5F示出了根据实施例一的半导体器件制造方法中的剖视图;
图6A示出了根据实施例二的半导体器件制造方法中的剖视图;
图6B示出了根据实施例二的半导体器件制造方法中的剖视图;
图6C示出了根据实施例二的半导体器件制造方法中的剖视图;
图6D示出了根据实施例二的半导体器件制造方法中的剖视图;
图6E示出了根据实施例二的半导体器件制造方法中的剖视图;
图6F示出了根据实施例二的半导体器件制造方法中的剖视图;
图6G示出了根据实施例二的半导体器件制造方法中的剖视图;
图7是示出了根据实施例三的半导体器件制造方法的流程图;
图8示出了通过热CVD形成的氧化铝膜B的XPS测量结果;
图9示出了通过图7所示的方法形成的氧化铝膜A的XPS测量结果;
图10是示出了温度与水的脱附(desorption)之间的关系的图;
图11是示出了保护膜的绝缘强度测试结果的图;以及
图12是示出了保护膜的绝缘强度测量的示意图。
具体实施方式
现在参照附图描述实施例。相同的元件或组件用相同的符号标记,并省略其赘述。
【实施例一】
首先,说明在栅电极与半导体层之间设置了绝缘膜的晶体管的结构,该晶体管被绝缘材料制成的保护膜覆盖,参见图1。这种类型的晶体管称作高电子迁移率晶体管(HEMT),其中,电子渡越层12、阻挡层13和覆盖层14依序在衬底11上外延生长。源电极15和漏电极16连接到阻挡层13。绝缘膜17形成在覆盖层14上,栅电极18形成在绝缘膜17上。保护膜19设置为覆盖包括绝缘膜17的整个表面。
衬底11例如是SiC衬底、蓝宝石(Al2O3)衬底或任意其他合适的衬底。电子渡越层12是本征GaN(i-GaN)层。阻挡层13由n型AlGaN(n-AlGaN)形成。覆盖层14由n型GaN(n-GaN)形成。绝缘膜17是通过等离子体ALD(原子层沉积)形成的氧化铝(Al2O3)层。保护膜19是由例如氮化硅(SiN)、氧化硅(SiO2)或氧化铝(Al2O3)形成的。为了形成保护膜19,从提高生产量的角度考虑,由于令人满意的膜形成速率,典型地采用等离子体CVD(化学气相沉积)。
图2示出了形成上述保护膜19之后的晶体管的栅-源电压(Vgs)与栅-源电流(Igs)之间的关系以及栅-漏电压(Vgd)与栅-漏电流(Igd)之间的关系。图3示出了设置保护膜19之前的晶体管的栅-源电压(Vgs)与栅-源电流(Igs)之间的关系以及栅-漏电压(Vgd)与栅-漏电流(Igd)之间的关系。
从图2和图3清楚地看出,在没有保护膜19的情况下,栅-源电流(Igs)和栅-漏电流(Igd)足够低,它们被抑制为小于10nA/mm。与没有保护膜19的晶体管相比,在其中形成了保护膜19的晶体管中,栅极泄漏电流显著增大。换句话说,保护膜19的形成导致栅极泄漏电流增大且降低了晶体管的特性。即使是由HfO2形成绝缘膜17,也可以观察到相同的现象。
发明人对于为什么设置了保护膜19时栅极泄漏电流显著增大的原因进行了深入的研究,并发现:栅极泄漏电流的增大是由保护膜19的膜形成方法造成的。
表一示出了通过几种技术形成的氧化铝保护膜19的源-漏绝缘强度。
表一
如表一所示,当通过等离子体CVD的方式为绝缘强度390V的晶体管设置氧化铝保护膜19时,在形成保护膜19后,绝缘强度显著降低到150V。当在绝缘强度400V的晶体管中通过等离子体ALD形成氧化铝保护膜19时,在形成保护膜19后,绝缘强度降低到200V。如果在绝缘强度380V的晶体管中通过溅射形成氧化铝保护膜19,则在形成保护膜19后,绝缘强度降低到140V。相反,当在绝缘强度400V的晶体管中采用热ALD形成氧化铝保护膜19时,即使在形成保护膜19后,绝缘强度仍然保持在400V。热ALD是一种通过向加热后的衬底上交替地供应源气体而不产生等离子体来形成膜的膜沉积技术。
从上述实验结果,可以推测出:具有保护膜19的晶体管中栅极泄漏电流的增大是由于形成氧化铝膜时所采用的等离子体CVD工艺造成的。
等离子体CVD、等离子体ALD以及溅射均是使用等离子体工艺的膜沉积技术,而热ALD是非等离子体工艺。
从上述假设可以得出结论,由于形成氧化铝保护膜19的等离子体工艺,绝缘强度下降并导致栅极泄漏电流增大。当使用热ALD形成氧化铝保护膜19时,绝缘强度得以保持。因此,通过采用非等离子体工艺(例如热ALD工艺)来形成氧化铝保护膜19,可以防止栅极泄漏电流增大。非等离子体工艺的例子包括热ALD、热CVD、真空气相沉积(包括电阻加热和电子束蒸发)。
接下来,说明当通过诸如等离子体CVD的等离子体工艺形成氧化铝保护膜19时栅极泄漏电流增大的机制。图4示出了通过使用等离子体工艺的膜沉积方法在布置了绝缘膜17和栅电极18的结构上形成保护膜19。在等离子体工艺中,由等离子体30生成的充电粒子经过栅电极18进入绝缘膜17。可以推测充电粒子导致绝缘膜17中的缺陷并导致栅极泄漏电流增大。由于绝缘膜17的表面暴露于等离子体中,因此还可以推测,在绝缘膜17的表面区域中由于等离子体破坏而产生了缺陷。基于这些推测,认为只要是通过等离子体工艺(例如,等离子体CVD)形成保护膜19,那么即使使用金属氧化物、氮氧化物或氮化物来形成绝缘膜17,绝缘膜17的绝缘强度也会降低。
尽管当通过诸如等离子体CVD的等离子体工艺形成保护膜19时通常可能已经发生了相同的现象,但是晶体管的绝缘强度的下降还没有被带有怀疑地察觉到。为什么这一现象还没有被察觉的原因是因为传统的半导体材料(例如硅或GaAs)与GaN相比带隙较窄。对于窄带隙材料而言,实际使用的电压范围低于绝缘强度降低成为问题的电压范围,因而,到目前为止由于通过诸如等离子体CVD的等离子体工艺来形成保护膜19而导致的绝缘强度降低还没有成为实际的问题。换句话说,当使用诸如GaN的宽带隙半导体材料时,由于使用等离子体工艺形成保护膜19而导致的绝缘强度下降的问题才会显现出来。
当通过等离子体ALD形成氧化铝膜时,三甲基铝(TMA:(CH3)3Al))和氧气作为源材料被供应从而生成等离子体。可替代地,在等离子体ALD中,TMA和氧气等离子体可以交替供应。当通过溅射工艺形成氧化铝膜时,氧化铝用作标靶,氩气(Ar)和氧气作为溅射气体被供应。可替代地,铝(Al)可以用作标靶,氩气(Ar)和氧气用作溅射气体来进行溅射。当通过热ALD形成氧化铝膜时,衬底被加热,TMA和水作为源材料被交替供应。在热ALD工艺期间,在沉积室内没有产生等离子体。
在通过等离子体CVD形成的绝缘膜中,包含5*1020/cm3或更多的氢分子。在通过等离子体ALD形成的绝缘膜中,其中包含的氢分子的量等于或少于1*1020/cm3,其中包含的水分子的量等于或少于1*1020/cm3。在通过热ALD形成的绝缘膜中,其中包含的氢分子的量等于或少于1*1020/cm3,而其中包含的水分子的量为1*1020/cm3或大于1*1020/cm3。因此,通过测量绝缘膜中氢分子和水分子的量可以识别膜沉积方法。
(半导体器件制造方法)
接下来,结合图5A到图5F说明根据实施例的半导体器件制造方法。
如图5A所示,在衬底11上形成成核层(nucleationlayer)(未示出)。包括电子渡越层12、阻挡层13和覆盖层14的半导体层通过金属有机气相外延法(MOVPE)依序外延生长。
衬底11例如是SiC衬底或蓝宝石(Al2O3)衬底。形成在衬底11上的成核层(未示出)例如是厚度为0.1μm的非掺杂的本征AlN(i-AlN)层。作为第一半导体层的电子渡越层12是厚度为3.0μm的非掺杂的本征GaN(i-GaN)层。作为第二半导体层的阻挡层13是厚度为20nm的非掺杂的本征Al0.25Ga0.75N层。作为第三半导体层的覆盖层14是厚度为5nm的n-GaN层。利用这种层叠结构,二维电子气(2DEG)通道12a在电子渡越层12中靠近阻挡层13处生成。
为了形成半导体层12-14,使用诸如三甲基铝(TMA)、三甲基镓(TMG)或氨气(NH3)之类的源气体。根据待形成的半导体层的成分来调整源气体的供应量。用于形成半导体层的氨气的流速是100sccm到10slm,用于半导体层的晶体生长的室内压强是6.68-40.05kPa(50-300Torr),生长温度是1000-1200℃。阻挡层13可以是杂质掺杂的n型Al0.25Ga0.75N层。可以通过借助分子束外延(MBE)的晶体生长来形成半导体层。除了AlGaN之外,阻挡层13可以由InGaN、InAlN或InAlGaN形成。
然后,如图5B所示,形成器件隔离区21。更具体而言,将光致抗蚀剂涂覆于覆盖层14的表面,并使用曝光系统通过曝光和显影将光致抗蚀剂图案化为规定的抗蚀剂图案。抗蚀剂图案具有与待形成器件隔离区21的区域相对应的开口。然后,使用抗蚀剂图案作为掩模进行离子注入,以将杂质引入进而到达电子渡越层13内部。杂质引入区变为器件隔离区21。然后移除抗蚀剂图案。作为替代方式,使用抗蚀剂图案作为掩模,经掩模的开口通过干蚀刻移除覆盖层14、阻挡层13和部分电子渡越层12。可以在半导体层已被移除的区域中埋入氧化物膜。
然后,如图5C所示,形成源电极15和漏电极16。更具体而言,将光致抗蚀剂涂覆于覆盖层14的表面,并通过曝光系统中的曝光和显影将光致抗蚀剂图案化为规定的抗蚀剂图案。抗蚀剂图案具有与待形成源电极15和漏电极16的区域相对应的开口。然后,使用抗蚀剂图案作为掩模,经掩模的开口通过干蚀刻(例如使用氯气的反应离子蚀刻(RIE))移除覆盖层14和部分阻挡层13。在干蚀刻工艺中,以30sccm的流速向室(chamber)内引入氯气作为蚀刻气体。室内的压强设置为大约2Pa,施加20W的RF功率。然后,通过真空气相沉积或其他合适的方法形成诸如Ta/Al层叠膜的金属膜。然后,通过剥离法(lift-offmethod)移除金属膜的不必要的部分以及抗蚀剂图案。这样,就形成了源电极15和漏电极16。在剥离工艺之后,以580℃进行热处理以形成欧姆接触(ohmiccontact)。
然后,如图5D所示,在覆盖层14、源电极15和漏电极16上形成绝缘膜17。绝缘膜17包含从如下材料中选择出的一种或多种材料:氧化硅、氧化铝、氧化铪、氧化钽、氧化锆、氧化钇、氧化镧、氧化钽、氮化硅、氮化铝和氮氧化硅。优选的是,绝缘膜17具有高的相对介电常数(relativepermittivity)。从实用的角度来看,优选使用SiO2、SiN、Al2O3、SiON、HfO2。绝缘膜17的厚度是2nm到200nm。通过等离子体ALD、等离子体CVD或溅射形成绝缘膜17。如果通过等离子体CVD形成氧化铝绝缘膜17,则供应三甲基铝(TMA)和氧气作为源气体以生成等离子体。
然后,如图5E所示,形成栅电极18。更具体而言,将光致抗蚀剂涂覆于绝缘膜17的表面,并通过曝光系统中的曝光和显影将光致抗蚀剂图案化为规定的抗蚀剂图案。该抗蚀剂图案在待形成栅电极18的位置具有开口。然后,通过真空气相沉积或其他合适的方法形成诸如Ni/Au层叠膜的金属膜。然后,通过剥离法移除金属膜的不必要部分以及抗蚀剂图案。这样就形成了栅电极18。
然后,如图5F所示,形成保护膜20。保护膜20包含从如下材料中选择的一种或多种材料:氧化硅、氧化铝、氧化铪、氧化钽、氧化锆、氧化钇、氧化镧、氧化钽、氮化硅、氮化铝以及氮氧化硅。保护膜20是通过不使用等离子体的工艺形成的,例如热ALD、热CVD或真空气相沉积。当通过热ALD形成氧化铝保护膜20时,在将衬底加热到200-400℃的同时交替供应三甲基铝和水。
这样,就制成了根据实施例一的半导体器件。由于保护膜20是使用不产生等离子体的工艺形成的,因而即使在形成保护膜之后,具有保护膜的晶体管的绝缘强度也能够得以保持。
【实施例二】
以下描述实施例二。图6A到图6G示出了根据实施例二的半导体器件制造工艺。
首先,如图6A所示,在衬底11上形成成核层(未示出)。包括电子渡越层12、阻挡层13和覆盖层14的半导体层通过金属有机气相外延法(MOVPE)依序外延生长。
衬底11例如是SiC衬底或蓝宝石(Al2O3)衬底。形成在衬底11上的成核层(未示出)例如是厚度为0.1μm的非掺杂的本征AlN(i-AlN)层。电子渡越层12是厚度为3.0μm的非掺杂的本征GaN(i-GaN)层。阻挡层13是厚度为20nm的非掺杂的本征Al0.25Ga0.75N层。覆盖层14是厚度为5nm的n-GaN层。二维电子气(2DEG)通道12a在电子渡越层12中靠近阻挡层13处生成。
然后,如图6B所示,形成器件隔离区21。更具体而言,将光致抗蚀剂涂覆于覆盖层14的表面,并使用曝光系统通过曝光和显影将光致抗蚀剂图案化为规定的抗蚀剂图案。抗蚀剂图案具有与待形成器件隔离区21的区域相对应的开口。然后,使用抗蚀剂图案作为掩模进行离子注入,以将杂质引入进而到达电子渡越层13内部。杂质引入区变为器件隔离区21。然后移除抗蚀剂图案。
然后,如图6C所示,形成源电极15和漏电极16。更具体而言,将光致抗蚀剂涂覆于覆盖层14的表面,并通过曝光系统中的曝光和显影将光致抗蚀剂图案化为规定的抗蚀剂图案。抗蚀剂图案具有与待形成源电极15和漏电极16的区域相对应的开口。然后,使用抗蚀剂图案作为掩模,经掩模的开口通过干蚀刻(例如使用氯气的反应离子蚀刻(RIE))移除覆盖层14和部分阻挡层13。然后,通过真空气相沉积或其他合适的方法形成诸如Ta/Al层叠膜的金属膜。然后,通过剥离法移除金属膜的不必要部分以及抗蚀剂图案。这样,就形成了源电极15和漏电极16。在剥离工艺之后,在580℃的温度下进行热处理以形成欧姆接触。
然后,如图6D所示,形成凹陷31。更具体而言,将光致抗蚀剂涂覆于覆盖层14的表面上,并通过曝光系统中的曝光和显影将光致抗蚀剂图案化为抗蚀剂图案。抗蚀剂图案具有与待形成凹陷31的区域相对应的开口。然后,使用抗蚀剂图案作为掩模,经掩模的开口通过干蚀刻(例如使用氯气的反应离子蚀刻(RIE))移除覆盖层14以及部分阻挡层13。然后,移除抗蚀剂图案。在干蚀刻工艺期间,可以在蚀刻气体中混入氧或氟。可以通过蚀刻部分覆盖层而将凹陷31只形成在覆盖层14中。可替代地,通过移除覆盖层14、阻挡层13和部分电子渡越层12,凹陷31可以到达电子渡越层12。
然后,如图6E所示,在凹陷31的内表面上、覆盖层14、源电极15以及漏电极16上形成绝缘膜32。绝缘膜32包含从如下材料中选择的一种或多种材料:氧化硅、氧化铝、氧化铪、氧化钽、氧化锆、氧化钇、氧化镧、氧化钽、氮化硅、氮化铝和氮氧化硅。
优选的是,绝缘膜32具有高的相对介电常数。从实用的角度来看,优选使用SiO2、SiN、Al2O3、SiON、HfO2。绝缘膜32的厚度是2nm到200nm。绝缘膜32是通过等离子体ALD、等离子体CVD或溅射形成的。当通过等离子体CVD形成氧化铝绝缘膜32时,供应三甲基铝(TMA)和氧气作为源气体以生成等离子体。
然后,如图6F所示,形成栅电极33。更具体而言,将光致抗蚀剂涂覆于绝缘膜32的表面,并在曝光系统中通过曝光和显影将光致抗蚀剂图案化为规定的抗蚀剂图案。抗蚀剂图案具有与凹陷31所位于的区域相对应的开口。然后,通过真空气相沉积或其他合适的方法形成诸如Ni/Au层叠膜的金属膜。然后,通过剥离法移除金属膜的不必要部分以及抗蚀剂图案。这样就形成了栅电极33。
然后,如图6G所示,形成保护膜34。保护膜34由绝缘材料形成,优选使用氧化铝。保护膜34是通过不使用等离子体的工艺形成的,这种工艺包括热ALD、热CVD以及真空气相沉积。当通过热ALD形成氧化铝保护膜34时,在将衬底加热到200-400℃的同时交替供应三甲基铝(TMA)和水。
这样,就制成了根据实施例二的半导体器件。
实施例二的除了上述工艺和结构之外的细节与实施例一的相同。
【实施例三】
接下来,说明实施例三。当在绝缘膜上形成了保护膜时,半导体器件的绝缘强度下降。这种绝缘强度的下降可能是由于绝缘膜与保护膜之间的热膨胀系数差、在保护膜形成期间产生的应力、或者绝缘膜与保护膜之间剩余的残留水分造成的。
从这个角度而言,通过使用金属氧化物材料形成绝缘膜和保护膜这两者,绝缘膜与保护膜之间的热膨胀系数差可以减少到2ppm或更小。如果绝缘膜和保护膜是由相同的金属氧化物材料形成的,则绝缘膜与保护膜之间的差可以基本上减小为0。金属氧化物材料可以包含从如下元素中选择的一种或多种元素:硅、铝、铪、钽、锆、钇、镧和钽。为了增强绝缘强度,优选的是绝缘膜和保护膜处于非结晶态(amorphousstate)。
图7是示出了根据实施例三的半导体器件制造方法的流程图。实施例三的制造方法与实施例二的不同之处在于保护膜34的膜形成工艺。该工艺的细节描述如下。
首先,在步骤S102中,通过热ALD或热CVD形成氧化铝膜,使其厚度达到50nm。优选地,氧化铝膜的厚度处于从10nm到50nm的范围内。如果氧化铝膜的厚度小于10nm,则从生产率的角度来看该器件不适合于实际使用。如果氧化铝膜的厚度大于50nm,则在以下描述的热处理期间产生孔隙(pore)。可以推测,孔隙是由于脱附水的影响而产生的。膜的厚度越大,则产生的孔隙越多。已发现,如果膜厚在50nm或低于50nm,则产生的孔隙很少。因此,优选的是,一次形成的氧化铝膜的厚度为50nm或小于50nm。
然后,在步骤S104中,在700℃下进行热处理。热处理的温度是在从500℃到800℃的范围内,更优选的是从650℃到800℃。如果温度超过800℃,则保护膜的相可能从非结晶态变为结晶态。因此,优选的是在800℃或低于800℃的温度下进行热处理。
然后,在步骤S106中,确定正在形成的氧化铝膜的厚度是否达到预定厚度。如果氧化铝膜已经达到预定厚度,则结束保护膜34的膜形成工艺。如果氧化铝膜的厚度还没有达到预定值,则该工艺返回到步骤S102,重复执行膜沉积和热处理直到膜厚度达到预定值为止。
使用该方法,形成了包括两层或更多层金属氧化物的多层保护膜34。
接下来,在下文中说明用作保护膜34的氧化铝膜的XPS(X射线光电子光谱学)分析结果。使用AXIS-His(由Shimadzu公司制造和销售)作为测量设备来进行XPS分析。
图8示出了氧化铝膜B的XPS测量结果,该膜是通过热CVD连续沉积的。图9示出了氧化铝膜A的XPS测量结果,该膜是通过图7所示的工艺形成的。在各范例中,均使用硅衬底,在硅衬底上形成了厚度为200nm的氧化铝膜。氧化铝膜B、即连续形成的热CVD膜包含32%的羟基(AlOH)。相对照地,通过实施例三的工艺形成的氧化铝膜A包含18%的羟基(AlOH)。可以理解,根据实施例三的氧化铝膜的膜形成方法能够极大地减少膜中所含的羟基。如果金属氧化物膜中包含羟基(-OH),则水容易被氢键(hydrogen-bonding)吸附,并且由于膜形成工艺的受热历程,通过羟基之间的脱水缩合(dehydratingcondensation)而使水被脱附出来。因此,期望减少氧化铝膜中的羟基含量。
图10示出了氧化铝膜中的温度与脱附水之间的关系。使用ESCO有限公司制造销售的加热和除气系统“EMD1000”,通过热脱附谱(TDS)来进行测量。如该曲线图所示,当通过热CVD连续形成的氧化铝膜B被加热到500℃或更高时,观察到了由于羟基之间的脱水缩合而导致的水脱附。相反,对于通过实施例三的工艺形成的氧化铝膜A,只检测到少许水脱附。在氧化铝膜B中,检测到脱附水是开始于500℃或高于500℃,并且在650℃处达到峰值水平。因此,优选的是,选择热处理的温度范围是从500℃到800℃,更优选的是,从650℃到800℃。
图11示出了保护膜的绝缘强度测试结果。为了进行绝缘强度测试,制造了图12所示的样本,并依照图12示出的方式进行测量。在每一样本中,氧化铝膜111形成在衬底110上,电极112和113布置在氧化铝膜111上。然后,在氧化铝膜111上以及电极112与113之间设置不同类型的保护膜114,该不同类型的保护膜114变为测量目标。电流-电压计(I-Vmeter)115连接到电极112和113。作为保护膜114,第一类型样本具有SiN膜,第二类型样本具有通过热CVD连续形成的氧化铝膜B,第三类型样本具有通过实施例三的工艺形成的氧化铝膜A。还制造了没有保护(绝缘)膜114的样本,在该样本中设置了氧化铝膜111以及电极112和113。从图11可以清楚地看出,根据实施例三形成的氧化铝膜A的绝缘强度最高,与没有保护(绝缘)膜114的样本的绝缘强度相似。
实施例三中的保护膜形成工艺可以应用于实施例一。除了上述说明之外的细节与实施例一或实施例二的相同。
采用实施例中公开的结构和方法,在于栅电极与半导体层之间插入了绝缘膜、并且覆盖有绝缘保护膜的半导体器件(例如晶体管)中,能够维持足够程度的绝缘强度。
此处叙述的全部实例和条件性语言都是作为教导目的,用于帮助读者理解本发明以及发明人为了促进技术而贡献的概念,并应解释为不受限于这些具体叙述的实例和条件,说明书中的这些实例的安排也不涉及显示本发明的优劣。尽管已经详细地描述了本发明的实施例,但是应当理解,在不脱离本发明的精神和范围的情况下,可对本发明进行各种变化、替代和更改。

Claims (10)

1.一种半导体器件,包括:
第一半导体层,形成在衬底上;
第二半导体层,形成在所述第一半导体层上;
源电极和漏电极,形成在所述第二半导体层上;
绝缘膜,形成在所述第二半导体层上;
栅电极,形成在所述绝缘膜上;以及
保护膜,覆盖所述绝缘膜,所述保护膜是通过热CVD、热ALD或真空气相沉积形成的;
其中,所述保护膜是金属氧化物的多层保护膜;
其中所述绝缘膜是由与所述保护膜相同材料制成的金属氧化膜,并且其中所述保护膜比所述绝缘膜包含更少的羟基。
2.一种半导体器件,包括:
第一半导体层,形成在衬底上;
第二半导体层,形成在所述第一半导体层上;
源电极和漏电极,形成在所述第二半导体层上;
凹陷,形成在所述第二半导体层中,或形成在所述第二半导体层和部分所述第一半导体层中;
绝缘膜,形成在所述第二半导体层上和所述凹陷中;
栅电极,形成在所述凹陷内的所述绝缘膜上;以及
保护膜,覆盖所述绝缘膜,所述保护膜是通过热CVD、热ALD或真空气相沉积形成的;
其中,所述保护膜是金属氧化物的多层保护膜;
其中所述绝缘膜是由与所述保护膜相同材料制成的金属氧化膜,并且其中所述保护膜比所述绝缘膜包含更少的羟基。
3.根据权利要求1或2所述的半导体器件,其中,所述保护膜包含从如下材料中选择的一种或多种材料:氧化硅、氧化铝、氧化铪、氧化钽、氧化锆、氧化钇、氧化镧、氧化钽、氮化硅、氮化铝和氮氧化硅。
4.根据权利要求1或2所述的半导体器件,其中,所述绝缘膜包含从如下材料中选择的一种或多种材料:氧化硅、氧化铝、氧化铪、氧化钽、氧化锆、氧化钇、氧化镧、氧化钽、氮化硅、氮化铝和氮氧化硅。
5.根据权利要求1或2所述的半导体器件,其中,所述绝缘膜是通过等离子体CVD、等离子体ALD或溅射形成的。
6.根据权利要求1或2所述的半导体器件,还包括:
第三半导体层,位于所述第二半导体层与所述绝缘膜之间。
7.一种半导体器件制造方法,包括如下步骤:
在衬底上依序形成第一半导体层和第二半导体层;
在所述第二半导体层上形成源电极和漏电极;
在所述第二半导体层上形成绝缘膜;
在所述绝缘膜上形成栅电极;以及
通过热CVD、热ALD或真空气相沉积形成保护膜,以覆盖所述绝缘膜;
其中,所述保护膜是金属氧化物的多层保护膜;
其中所述绝缘膜是由与所述保护膜相同材料制成的金属氧化膜,并且其中所述保护膜比所述绝缘膜包含更少的羟基。
8.一种半导体器件制造方法,包括如下步骤:
在衬底上依序形成第一半导体层和第二半导体层;
在所述第二半导体层上形成源电极和漏电极;
在所述第二半导体层中形成凹陷;
在所述第二半导体层上和所述凹陷中形成绝缘膜;
在所述凹陷内的所述绝缘膜上形成栅电极;以及
通过热CVD、热ALD或真空气相沉积形成保护膜,以覆盖所述绝缘膜;
其中,所述保护膜是金属氧化物的多层保护膜;
其中所述绝缘膜是由与所述保护膜相同材料制成的金属氧化膜,并且其中所述保护膜比所述绝缘膜包含更少的羟基。
9.根据权利要求7或8所述的半导体器件制造方法,其中,所述形成保护膜的步骤包括:
通过交替供应三甲基铝和水的热ALD来形成氧化铝膜。
10.根据权利要求7或8所述的半导体器件制造方法,其中,所述形成保护膜的步骤包括:
形成厚度范围是从10nm到50nm的金属氧化物膜;
在范围是从500℃到800℃的温度下对所述金属氧化物膜进行热处理;以及
重复所述金属氧化物膜的形成和所述热处理。
CN201110326799.XA 2010-10-19 2011-10-19 半导体器件及其制造方法 Active CN102456730B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010234961A JP5636867B2 (ja) 2010-10-19 2010-10-19 半導体装置及び半導体装置の製造方法
JP2010-234961 2010-10-19

Publications (2)

Publication Number Publication Date
CN102456730A CN102456730A (zh) 2012-05-16
CN102456730B true CN102456730B (zh) 2016-02-03

Family

ID=45933400

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110326799.XA Active CN102456730B (zh) 2010-10-19 2011-10-19 半导体器件及其制造方法

Country Status (4)

Country Link
US (2) US20120091522A1 (zh)
JP (1) JP5636867B2 (zh)
CN (1) CN102456730B (zh)
TW (1) TWI487109B (zh)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012134206A (ja) * 2010-12-20 2012-07-12 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体装置およびその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013061313A1 (en) 2011-10-28 2013-05-02 Stmicroelectronics S.R.L. Method for manufacturing a protective layer against hf etching, semiconductor device provided with the protective layer and method for manufacturing the semiconductor device
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8883021B2 (en) * 2012-03-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS nanostructures and methods of forming the same
JP6054621B2 (ja) * 2012-03-30 2016-12-27 トランスフォーム・ジャパン株式会社 化合物半導体装置及びその製造方法
JP6050018B2 (ja) * 2012-04-04 2016-12-21 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US8912570B2 (en) 2012-08-09 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6343807B2 (ja) * 2012-12-20 2018-06-20 パナソニックIpマネジメント株式会社 電界効果トランジスタおよびその製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6171435B2 (ja) * 2013-03-18 2017-08-02 富士通株式会社 半導体装置及びその製造方法、電源装置、高周波増幅器
US9054027B2 (en) * 2013-05-03 2015-06-09 Texas Instruments Incorporated III-nitride device and method having a gate isolating structure
JP6136573B2 (ja) * 2013-05-27 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
DE102013211360A1 (de) * 2013-06-18 2014-12-18 Robert Bosch Gmbh Halbleiter-Leistungsschalter und Verfahren zur Herstellung eines Halbleiter-Leistungsschalters
JP6135487B2 (ja) 2013-12-09 2017-05-31 富士通株式会社 半導体装置及び半導体装置の製造方法
US9934969B2 (en) 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9640620B2 (en) 2014-11-03 2017-05-02 Texas Instruments Incorporated High power transistor with oxide gate barriers
FR3031239B1 (fr) * 2014-12-30 2023-04-28 Thales Sa Passivation multicouche de la face superieure de l'empilement de materiaux semi-conducteurs d'un transistor a effet de champ.
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9553181B2 (en) 2015-06-01 2017-01-24 Toshiba Corporation Crystalline-amorphous transition material for semiconductor devices and method for formation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP2017059621A (ja) 2015-09-15 2017-03-23 三菱電機株式会社 半導体装置及びその製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6567468B2 (ja) * 2016-06-20 2019-08-28 株式会社東芝 半導体装置、電源回路、及び、コンピュータ
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP7143210B2 (ja) * 2016-10-07 2022-09-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10804385B2 (en) 2018-12-28 2020-10-13 Vanguard International Semiconductor Corporation Semiconductor devices with fluorinated region and methods for forming the same
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP7176169B2 (ja) * 2019-02-28 2022-11-22 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法及び半導体装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US12076768B2 (en) * 2019-06-21 2024-09-03 Wolverine Environmental, Llc Remediating composition
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
US11342440B2 (en) * 2019-07-22 2022-05-24 Northrop Grumman Systems Corporation Passivated transistors
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TWI733468B (zh) * 2020-05-25 2021-07-11 國立中山大學 提升高電子移動率電晶體的崩潰電壓之結構
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024504187A (ja) * 2021-01-27 2024-01-30 ユージェヌス インコーポレイテッド 周期的堆積のための前駆体送達システム及び方法
US11688802B2 (en) 2021-02-18 2023-06-27 United Microelectronics Corp. High electron mobility transistor and fabrication method thereof
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419862B2 (en) * 2005-09-12 2008-09-02 Electronics And Telecommunications Research Institute Method of fabricating pseudomorphic high electron mobility transistor

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100327086B1 (ko) * 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
US6933181B2 (en) * 2002-07-17 2005-08-23 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4179539B2 (ja) * 2003-01-15 2008-11-12 富士通株式会社 化合物半導体装置及びその製造方法
US7612390B2 (en) * 2004-02-05 2009-11-03 Cree, Inc. Heterojunction transistors including energy barriers
EP1737044B1 (en) * 2004-03-12 2014-12-10 Japan Science and Technology Agency Amorphous oxide and thin film transistor
US20050248270A1 (en) * 2004-05-05 2005-11-10 Eastman Kodak Company Encapsulating OLED devices
CN100508212C (zh) 2004-06-24 2009-07-01 日本电气株式会社 半导体器件
US7238560B2 (en) * 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
US7709269B2 (en) * 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
KR100822799B1 (ko) * 2006-04-25 2008-04-17 삼성전자주식회사 나노크기의 도전성 구조물을 위한 선택적인 촉매 형성 방법및 선택적인 나노크기의 도전성 구조물 형성 방법
JP5200936B2 (ja) 2006-09-20 2013-06-05 富士通株式会社 電界効果トランジスタおよびその製造方法
WO2008041277A1 (fr) * 2006-09-29 2008-04-10 Fujitsu Limited Dispositif à semi-conducteur a base de composé et processus de fabrication correspondant
JP2008103408A (ja) 2006-10-17 2008-05-01 Furukawa Electric Co Ltd:The 窒化物化合物半導体トランジスタ及びその製造方法
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
JP5401758B2 (ja) * 2006-12-12 2014-01-29 サンケン電気株式会社 半導体装置及びその製造方法
JP5114947B2 (ja) * 2006-12-28 2013-01-09 富士通株式会社 窒化物半導体装置とその製造方法
JP2009010107A (ja) * 2007-06-27 2009-01-15 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP5395382B2 (ja) * 2007-08-07 2014-01-22 株式会社半導体エネルギー研究所 トランジスタの作製方法
JP2009049121A (ja) * 2007-08-17 2009-03-05 Oki Electric Ind Co Ltd ヘテロ接合型電界効果トランジスタ及びその製造方法
KR101487787B1 (ko) 2007-08-21 2015-02-06 삼성전자주식회사 진공청소기용 흡입브러시
JP5309532B2 (ja) * 2007-11-08 2013-10-09 サンケン電気株式会社 窒化物系化合物半導体装置
US20100117118A1 (en) * 2008-08-07 2010-05-13 Dabiran Amir M High electron mobility heterojunction device
JP5468761B2 (ja) * 2008-09-25 2014-04-09 古河電気工業株式会社 半導体装置、ウエハ構造体および半導体装置の製造方法
JP5552753B2 (ja) * 2008-10-08 2014-07-16 ソニー株式会社 薄膜トランジスタおよび表示装置
KR20100041179A (ko) * 2008-10-13 2010-04-22 매그나칩 반도체 유한회사 유전체, 이를 구비한 캐패시터 및 그 제조방법, 반도체 소자 제조방법
JP2010098076A (ja) * 2008-10-15 2010-04-30 Sumitomo Electric Device Innovations Inc 半導体装置の製造方法
JP5323527B2 (ja) * 2009-02-18 2013-10-23 古河電気工業株式会社 GaN系電界効果トランジスタの製造方法
KR102329380B1 (ko) * 2009-10-09 2021-11-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR20170143023A (ko) * 2009-10-21 2017-12-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 제작방법
WO2011135987A1 (en) * 2010-04-28 2011-11-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8772842B2 (en) * 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
JP2014534952A (ja) * 2011-09-27 2014-12-25 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード タングステンジアザブタジエン分子、その合成及びタングステン含有膜の堆積へのその使用

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419862B2 (en) * 2005-09-12 2008-09-02 Electronics And Telecommunications Research Institute Method of fabricating pseudomorphic high electron mobility transistor

Also Published As

Publication number Publication date
US20150279956A1 (en) 2015-10-01
US20120091522A1 (en) 2012-04-19
JP5636867B2 (ja) 2014-12-10
JP2012089677A (ja) 2012-05-10
CN102456730A (zh) 2012-05-16
TWI487109B (zh) 2015-06-01
US9608083B2 (en) 2017-03-28
TW201220502A (en) 2012-05-16

Similar Documents

Publication Publication Date Title
CN102456730B (zh) 半导体器件及其制造方法
CN103038869B (zh) 场效应晶体管
JP4897948B2 (ja) 半導体素子
US7709269B2 (en) Methods of fabricating transistors including dielectrically-supported gate electrodes
CA2666519C (en) Methods of fabricating semiconductor devices including implanted regions for providing low-resistance contact to buried layers and related devices
US8354312B2 (en) Semiconductor device fabrication method
US8912571B2 (en) Compound semiconductor device including first film on compound semiconductor layer and second film on first film and method of manufacturing the same
JP5634681B2 (ja) 半導体素子
JP6035007B2 (ja) Mis型の窒化物半導体hemt及びその製造方法
JP6004319B2 (ja) 半導体装置および半導体装置の製造方法
US20090045439A1 (en) Heterojunction field effect transistor and manufacturing method thereof
JP2009231396A (ja) 半導体装置および半導体装置の製造方法
CN103715249A (zh) 化合物半导体器件及其制造方法
TWI520341B (zh) GaN半導體裝置及其形成方法
US20130240895A1 (en) Semiconductor element having high breakdown voltage
US20180138305A1 (en) Semiconductor device and method of manufacturing the same
JP2007173426A (ja) 半導体装置
JP2013004750A (ja) 化合物半導体装置及びその製造方法
JP2005183733A (ja) 高電子移動度トランジスタ
US20180342589A1 (en) Method of manufacturing semiconductor device and the semiconductor device
TW201705496A (zh) 異質結構及其生產方法
US20220416070A1 (en) High electron mobility transistor and method of manufacturing the same
JP6687831B2 (ja) 化合物半導体装置及びその製造方法
JP6093190B2 (ja) Mis構造トランジスタ、及びmis構造トランジスタを作製する方法
JP7201571B2 (ja) 窒化物半導体基板および窒化物半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant