CN102047390B - 使用衬底制造太阳能电池的方法 - Google Patents
使用衬底制造太阳能电池的方法 Download PDFInfo
- Publication number
- CN102047390B CN102047390B CN200980115537XA CN200980115537A CN102047390B CN 102047390 B CN102047390 B CN 102047390B CN 200980115537X A CN200980115537X A CN 200980115537XA CN 200980115537 A CN200980115537 A CN 200980115537A CN 102047390 B CN102047390 B CN 102047390B
- Authority
- CN
- China
- Prior art keywords
- substrate
- solar cell
- implanted
- ion
- implanted ions
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000007943 implant Substances 0.000 title claims abstract description 13
- 239000000758 substrate Substances 0.000 claims abstract description 95
- 238000000034 method Methods 0.000 claims abstract description 56
- 150000002500 ions Chemical class 0.000 claims description 79
- 230000008676 import Effects 0.000 claims description 3
- 238000002513 implantation Methods 0.000 abstract description 28
- 230000008569 process Effects 0.000 abstract description 19
- 238000004519 manufacturing process Methods 0.000 abstract description 6
- 238000005468 ion implantation Methods 0.000 abstract 1
- 210000004027 cell Anatomy 0.000 description 50
- 210000002381 plasma Anatomy 0.000 description 27
- 238000010884 ion-beam technique Methods 0.000 description 20
- 239000007789 gas Substances 0.000 description 19
- 239000000463 material Substances 0.000 description 12
- 239000004065 semiconductor Substances 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 238000000137 annealing Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 230000005684 electric field Effects 0.000 description 5
- 238000009826 distribution Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000005611 electricity Effects 0.000 description 3
- 239000004744 fabric Substances 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 239000002800 charge carrier Substances 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000003574 free electron Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 230000006855 networking Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000002787 reinforcement Effects 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 238000004980 dosimetry Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 229930002839 ionone Natural products 0.000 description 1
- 150000002499 ionone derivatives Chemical class 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 239000002912 waste gas Substances 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32412—Plasma immersion ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
- H01J37/317—Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
- H01J37/3171—Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
- H01L21/26513—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/04—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
- H01L31/06—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
- H01L31/068—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/04—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
- H01L31/06—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
- H01L31/068—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
- H01L31/0682—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/18—Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/18—Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
- H01L31/1804—Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/06—Sources
- H01J2237/08—Ion sources
- H01J2237/0822—Multiple sources
- H01J2237/0827—Multiple sources for producing different ions sequentially
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/202—Movement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/30—Electron or ion beam tubes for processing objects
- H01J2237/317—Processing objects on a microscale
- H01J2237/31701—Ion implantation
- H01J2237/31706—Ion implantation characterised by the area treated
- H01J2237/3171—Ion implantation characterised by the area treated patterned
- H01J2237/31711—Ion implantation characterised by the area treated patterned using mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/266—Bombardment with radiation with high-energy radiation producing ion implantation using masks
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02E—REDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
- Y02E10/00—Energy generation through renewable energy sources
- Y02E10/50—Photovoltaic [PV] energy
- Y02E10/547—Monocrystalline silicon PV cells
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P70/00—Climate change mitigation technologies in the production process for final industrial or consumer products
- Y02P70/50—Manufacturing or production processes characterised by the final manufactured product
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Electromagnetism (AREA)
- Manufacturing & Machinery (AREA)
- High Energy & Nuclear Physics (AREA)
- Life Sciences & Earth Sciences (AREA)
- Analytical Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Sustainable Development (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- Plasma & Fusion (AREA)
- Photovoltaic Devices (AREA)
Abstract
可在不需要中介(intervening)热循环的情况下进行连续的离子植入,而简化太阳能电池的制造并减少成本。除了缩短制程时间,连锁离子植入的使用也可改善太阳能电池的性能。而另一实施例中,在没有破真空的情况下,连续的植入两种不同种类的掺质。又一实施例中,衬底被植入接着被翻转(flipped),而使其在退火之前可在其两面进行植入。于又一实施例中,在不破真空的情况下,使用一或多个不同掩膜进行连续的植入,因而缩短制程时间。
Description
技术领域
本发明涉及离子植入,特别涉及太阳能电池的离子植入。
背景技术
离子植入是用以将改变导电率的杂质引入到半导体衬底(substrate)的标准技术。在离子源中,所需的杂质材质被离子化。离子被加速以形成具有特定能量的离子束,并且离子束被导引到衬底的表面。离子束中的高能离子穿透半导体材料的主体并埋入于半导体材质的晶格中,以形成具有所希望的导电率的一区域。
太阳能电池基本上是以与其他半导体元件相同的制程所制成,且时常使用硅为衬底材料。半导体太阳能电池为一简单元件,其具有一内建电场而可分离在半导体材料中由吸收光子所产生的电荷载子(charge carrier)。此电场是由P-N接面(二极体)的形成所产生,其中P-N接面是藉由掺杂不同半导体材料产生。在半导体衬底的一部分(例如表面区域)掺杂相反极性的杂质会形成一P-N接面(p-n junction),其可用为一转换光源为电力的太阳能元件。
图3表示一太阳能电池的第一实施例以及一代表衬底300的剖面图。光子301由一上表面305进入一太阳能电池300,如箭头所示。这些光子通过一抗反射涂膜310,其设计以最大化穿透衬底300的光子数,并最小化被反射远离衬底的光子数。
详细而言,衬底300被形成以获得一P-N接面320。虽然在其他范例中,接面不一定平行于表面,但此接面实质上平行于衬底300的上表面305。太阳能电池的制造过程中,光子经由一高掺杂区域,也就是一射极330,进入衬底。在一些实施例中,射极330可为一N型掺杂区域,而在其他实施例中,射极可为一P型掺杂区域。具有充分能(高于半导体的能带隙)的光子能够促动位于半导体材料的共价带的一电子至导电带。与此自由电子结合的是在共价带中对应的一正电荷电洞。为了产生可驱动一外接负载的一光电流,这些电子-电洞(e-h)对需要被分离,此过程是以P-N接面的内建电场完成。因此,P-N接面的耗乏区所产生的任一电子电洞(e-h)对都会被分开,而任何其它扩散至元件的耗乏区的少数载子(minority carrier)也会同样的被分开。由于多数的入射光子会被吸收至元件的近表面区域,产生于射极的少数载子需要扩散过射极的深度以到达耗乏区并被扫至另一边。因此,为最大化光生电流的收集以及最小化载子于射极中再结合的机会,使用极浅的射极330会有较佳效果。
一些光子通过射极330并进入一基极340。当射极330为一N型区域时,基极340是一P型掺杂区域。且这些光子即可激发基极340内可自由的进入射极330的电子,而对应的电洞则是留在基极340中。另一方面,当射极330是一P型掺杂区域时,基极340则会是一N型掺杂区域。在此情形下,光子便可激发基极340内的电子,这些电子会留在基极340中,而对应的电洞则是会移动至射极330。由此P-N接面所导致的电荷分离,可使由光子所产生的多余载子(电子以及电洞)被用以驱动一外部负载而完成电路。
藉由一外部负载外部连接射极330到基极340,其便可导电进而提供功率。为达成此目的,接触(contacts)350a以及接触350b(基本上为金属)会被分别置于射极330以及基极340的外表面。由于基极并不会直接接收光子,因此,其接触350b会沿着整个外表面放置。另一方面,射极330的外表面会接收光子,而因此无法完整的被接触所覆盖。然而,若电子必须移动很长的距离以抵达接触,电池的串联电阻则会增加,使功率输出减少。为了试着平衡这两个因素(自由电子移动到接触需要的距离以及暴露出的射极表面360的量),多数的应用使用指形的接触350a。图4显示图3的太阳能电池的上视图。形成的这些接触相对较薄,同时延伸太阳能电池的宽度。如此一来,自由的电子不需移动很长的距离,但射极大部分的外表面都暴露于光子。在衬底之前表面上的接触指形物(fingers)350a一般为0.1mm,且具有+/-0.1mm的准确度。这些指形物350a之间一般都有1-5mm的间隔。虽然上述的是较为常见的尺寸,但其他尺寸也是可以使用并为本发明所预期。
太阳能电池的进一步加强是高掺杂衬底接触区的加入。图5显示此加强版太阳能电池的一剖面图。此电池与图3的电池相似,但还包括高掺杂接触区370。这些高掺杂接触区370对应于金属指形物370固定在衬底300的区域。这些高掺杂接触区370的加入会使衬底300和金属指形物350a之间有更良好的接触,并且大幅降低电池的串联电阻。此在衬底的表面上具有高掺杂接触区的图案通常被称为选择性射极设计。
藉由因为在射极层的暴露区域中的低掺质/杂质剂量所导致的再结合(recombination),而使少数载子损耗减少,所以用于太阳能电池的一种选择性射极设计也具有高效率电池的优点。接触区中的较高掺杂将提供一个会排斥射极产生的少数载子的场(field),并将少数载子推向P-N接面。
图3所示的实施例在衬底的两端都需要接触,进而减少可让光子通过的前表面的可用面积。图6显示一太阳能电池400的一第二实施例的剖面图。在根本上,本实施例中所包含的物理跟前述实施例十分相似,也就是一P-N接面被用以产生一电场,其可分离所产生的电子-电洞对。然而,和在整个衬底产生P-N接面的前实施例不同,接面只会在衬底400的部分产生。本实施例可使用一负掺杂硅衬底410。在某些的实施例中,一较负偏压的前面场420(front surface field)会由加入N型掺质至前表面所产生。此前表面则会被涂布一层抗反射材料430(anti-reflective material)。此前表面通常会被蚀刻以产生一锯齿或其他非平面的表面,进而增加表面积。金属接触或指形物470a、470b,皆位于衬底的底面。底面的某些部分掺杂P型掺质以产生射极440。其他部分则是掺杂N型掺质以产生较负偏压的背面场450(back surface field)。背表面涂布着一介电层460以增加背表面的反射能力。金属指形物470a会附接到 射极440,而指形物470b会附接到背面场450。图7表示在背表面常用的金属指形物。此类电池被称为一指叉背接触(interdigitated back contact,IBC)太阳能电池。
因现前的能源成本以及环境考量,太阳能电池在全世界已经变得越来越重要。任何制造或是生产高性能太阳能电池的成本的减少或者任何高性能太阳能电池的效率的改善,都会对全球的太阳能电池实体化提供正面影响。同时,也能够让此无污染的能源科技有更宽阔的利用空间。
目前太阳能电池的设计限制于掺质的轮廓,其轮廓可由扩散掺质至太阳能电池的硅中达成。太阳能电池的设计同时也限制于使用不同掺质以及掺杂太阳能电池不同部分的难度。其他额外掺杂步骤的成本限制商业用的太阳能电池。有鉴于此,业界需要太阳能电池中改良离子植入的方法,且特别是,一种可让离子植入器对太阳能电池进行连续掺杂步骤的方法。
发明内容
太阳能电池可使用以下所述的方法简化其制程并减少成本。在未破真空的情况下,藉由进行连续的植入可更快速的生产太阳能电池。除此之外,连锁离子植入的使用也可改善太阳能电池的性能。在一实施例中,进行两次植入,其中使用的离子种类相同,但植入之间的植入能不相同。另一实施例中,衬底在退火之前受到翻转并接着于其两面进行植入。在又一实施例中,使用一或多个不同掩膜,且在不破真空的情况下进行连续的植入。
附图说明
为了对让本申请有较佳的了解,于此配合所附图式作参考,详细说明如下。
图1为一种用来掺杂离子至一材料的等离子体掺杂系统的方框图。
图2为一种用来掺杂离子至一材料的光束线离子植入器的方框图。
图3显示现有技术的一种太阳能电池的剖面图。
图4显示图3的太阳能电池的上视图
图5显示使用选择性射极设计的一种太阳能电池的剖面图。
图6显示现有技术的一种第二类型的太阳能电池的剖面图。
图7显示图6的太阳能电池的下视图。
图8显示用于图7的太阳能电池的一种掩膜。
具体实施方式
图1为可提供离子以掺杂一选择的材料的一等离子体掺杂系统(plasma doping system)100的方框图。图2为可提供离子以掺杂一选择的材料的一光束线离子植入器(beam-line ion implanter)200的方框图。本领域的技术人员应理解的是等离子体掺杂系统100与光束线离子植入器200各为可提供离子以掺杂一选择材料的不同等离子体掺杂系统和光束线离子植入器之其中一范例。本工艺也可以用其他离子植入系统进行,例如一非质量分析流体植入器(non-mass analyzed flood implanter)、其他衬底或半导体晶圆处理设备;上述系统中的两个或两个以上的组合。
请参照图1,等离子体掺杂系统100包括定义一围闭体积(enclosed volume)103的一处理室102。一平台134可被放置于处理室102中,以支撑一衬底138。在一范例中,衬底138可为具有一盘形的一半导体衬底,例如于一实施例中,其可为直径300毫米(mm)的一硅晶圆。此衬底138可为一太阳能电池。本衬底138可经由静电力或机械力被钳至平台134的一平面。在一实施例中,平台134可包括用以连接至衬底138的多个导电接脚(未展示)。
一气体源(gas source)104经由一质量流控制器(mass flow controller)106提供一掺质气体(dopant gas)至处理室102的内部体积103。一气体阻流板(baffle)170位于处理室102,以让气体源104的气体流转向。一压力表(pressure gauge)108测量处理室102内的压力。一真空泵(vacuum pump)112经由处理室 102的一排气口110将废气自处理室102排出。一排气阀114藉由排气口110控制排气传导。
等离子体掺杂系统100可进一步包括一气压控制器116,其电性连接至质量流控制器106、压力表108以及排气阀114。气压控制器116可藉由排气阀114控制排气传导或是由反应压力表108的一回馈回路(feedback loop)中的质量流控制器106控制一处理气体流速率而保持在处理室102中所想要的压力。
处理室102可具有一室顶118,其包括以一介电材料(dielectric material)形成且往平行方向延伸的一第一部分120。室顶118同时包括以一介电材料形成且从第一部分120往垂直方向延伸一高度的一第二部分122。室顶118还包括一个盖(lid)124,其是以一导电导热材料形成,且于平行方向延伸过第二部分122。
等离子体掺杂系统100还包括一电源(source)101用以于处理室102中产生一等离子体140。电源101可包括一射频源(RF source)150例如一电源供应器(power supply),以提供射频功率给一平面天线(planar antenna)126和一螺旋形天线(helical antenna)146或两者的其中之一,以产生等离子体140。为了将从射频源150转移到RF射频天线126以及146的功率最大化,射频源150可藉由将射频源150的输出阻抗(impedance)配对至射频天线126以及146的阻抗的一阻抗匹配网路(impedance matching network)152耦接至天线126以及146。
等离子体掺杂系统100可同时包括一偏压电源供应器148,其电性耦接至平台134。偏压电源供应器148被用以提供具有脉冲开关(ON and OFF)时间周期的一脉冲平台信号(pulsed platen signal)以加偏压于平台134,而因此加偏压于衬底138,并在脉冲开的时间周期而非在脉冲关的时间周期促使离子离开等离子体140接近衬底138。偏压电源供应器148可为一直流电源供应器或一射频电源供应器。
等离子体掺杂系统100还包括置于平台134周围的一遮蔽环(shield ring)194。由于本技术领域已知此遮蔽环194可被加偏压,以改善于衬底138边缘布值离子分布的均匀度(uniformity)。一或多个像是一环形法拉第感应器(annular Faraday sensors)199的法拉第感应器可被放在遮蔽环194中,以感应离子束电流。
等离子体掺杂系统100还包括一控制器156以及一使用者介面系统(user interface system)158。控制器156可以是或可包括一通用(general-purpose)电脑或多个通用电脑的一网路,且其可被设定以进行所希望的输入/输出功能。控制器156可同时包括其他电子电路或如特定用途的集成电路、其它固线式或可设定的电子元件、个别的元件电路等的构件(components)。控制器156可同时包括通讯元件、资料储存元件以及软体。为了清楚说明,控制器156在此仅提供一输出信号给电源供应器148以及150并自法拉第感应器199接收输入信号。所属技术领域的技术人员应知控制器156可提供输出信号给等离子体掺杂系统100的其他构件并自等离子体掺杂系统接收输入信号。使用者介面系统158可包括像是触碰式荧幕、键盘、使用者指向装置(user pointing devices)、显示器、打印机等的装置,让使用者输入指令以及/或资料以及/或藉由控制器156监视等离子体掺杂系统100。
就操作上来说,气体源104提供含有一所希望的掺质的一主要掺质气体,以布值入衬底138。气压控制器116调控主要掺质气体被提供至处理室102的速率。电源101用以在处理室102内产生等离子体140。电源101可由控制器156控制。为了产生等离子体140,射频源150在至少射频天线126、146中的其中之一共振射频电流,以产生一振荡磁场(oscillating magnetic field)。振荡磁场将射频电流导入处理室102。处理室102中的射频电流激发并离子化主要掺质气体以产生等离子体140。
偏压电源供应器148提供一脉冲平台信号,以加偏压于平台134,也因此在脉冲平台信号的脉冲开(ON)期间,加偏压于衬底138以促使离子离开等 离子体140接近衬底138。脉冲平台信号的频率以及/或脉冲的工作循环可被选择,以提供一所希望的剂量率(dose rate)。可选择脉冲平台信号的振幅,用以提供一所希望的能量。当其他所有的参数皆相同时,较大的能量会产生较大的布值深度(implanted depth)。
请参照图2,图2为可提供离子以掺杂一选择的材料的一光束线离子植入器200的方框图。所属技术领域的技术人员应知光束线离子植入器200只是许多可提供离子以掺杂一选择的材料的光束线离子植入器的其中一个范例。
一般来说,光束线离子植入器200包括一离子源280,以产生形成一离子束281的离子。离子源280可包括一离子腔室283以及包含将被离子化的一气体的一气体箱。接着,气体被提供至离子腔室283,并受到离子化。形成的离子接着从离子腔室283抽出形成离子束281。离子束281被引导至一分解磁铁(resolving magnet)282的两极之间。一电源供应器被连结至离子源280的一萃取电极(extraction electrode),并在一高电流离子植入器中提供一可调整电压,其例如在0.2keV与80keV之间。因此,来自离子源280的被个别充电的离子可藉由所述可调整电压被加速至约0.2keV到80keV的能量。
离子束281通过一抑制电极(suppression electrode)284以及一接地电极285到一质量分析器(mass analyzer)286。质量分析器286包括分解磁铁282和具有一分解孔径(aperture)289的一掩膜电极(masking electrode)288。分解磁铁282使离子束281中的离子转向,而让一所想要的离子种类的离子通过分解孔径289。不需要的离子种类则不会通过分解孔径289,而会被掩膜电极288挡住。在一实施例中,分解磁铁282将所想要种类的离子转向约90°。
所想要的离子种类的离子通过分解孔径289而达到角度校正磁铁(angle corrector magnet)294。角度校正磁铁294将所想要的离子种类的离子转向,并将离子束从一发散(diverging)离子束转换为一带状离子束212(ribbon ion beam),其实质上平行于离子轨道(ion trajectories)。在一实施例中,角度校正 磁铁294将所想要的离子种类的离子转向70°。在一些实施例中,光束线离子植入器200还可包括加速或减速的零件(units)。
在带状离子束212的路径中,一终端站(end station)211支撑一或多个工件例如衬底138,而使所想要的种类的离子被植入到衬底138中。衬底138可为例如一硅晶圆。此衬底138可为一太阳能电池。终端站211包括一平台295以支撑衬底138。终端站211同时包括一扫瞄器(未显示),以将衬底138垂直移动至带状离子束212剖面的长边,进而使离子分布于衬底138的整个表面。虽然此处说明的为带状离子束212,但其他实施例可提供一点束(spot beam)。
离子植入器可包括所属技术领域的技术人员所知的附加构件。举例来说,终端站211一般包括自动化工件操作设备,以将工件加入光束线离子植入器200,并在完成离子植入后将工件移除。终端站211也可包括一剂量测量系统,一电子流枪(electron flood gun)或其他已知构件。所属技术领域的技术人员应了解,在离子植入期间,被离子束横越的整个路径会被抽真空。在一些实施例中,光束线离子植入器200可合并离子的热或冷植入。
进行两次或两次以上的植入可以增加产量(productivity)以及太阳能电池效率。这些植入是在未破真空的情况下,以相同的植入工具进行的一个“连锁植入”,或一个马上接续另一个的植入。除了降低成本以及改善产量和太阳能电池效能之外,本方法还可进一步改善掺质轮廓。连锁植入可被合并至太阳能电池生产制程的方法有很多种,这些制程可在图1的等离子体掺杂系统100或是图2的光束线离子植入器200、其他离子植入系统例如一非质量分析流体植入器、其他衬底或半导体晶圆制程设备;或两个或两个以上系统的组合中进行。
首先,在一连锁植入中可进行两次或两次以上在不同能量的植入。能量的范围将提供掺质轮廓一个详细裁剪(tailoring)。举例来说,若要在例如图3的一前接触电池(front contact cell)中加入射极330,可进行一深、低剂量的植 入,以防止接触350a缩短接面(junction)。另一方面,也可进行一浅、高剂量的植入,以在电池表面产生一高剂量浓度。这些植入的组合产生一掺质轮廓,其可减少离开前表面而往背表面的移动。如此的轮廓也可经由产生可促使少数载子接近P-N接面的一导向电场,而使再结合(recombination)最小化。在一实施例中,在离子束接触到平台上的太阳能电池之前加入一减速电压(deceleration voltage),可改变图2的光束线离子植入器200的离子束能量。
第二,可在未移动衬底的情况下,在一连锁植入中进行不同种类的植入。在一实施例中,除了磷之外,砷也被当作一N型掺质。接着,之后的热制程会利用这两个N型掺质的不同特性。举例来说,一掺质可能可以扩散得较深入衬底中,而另一个则是较接近表面,因而产生一掺质梯度。此掺质梯度有助于最小化表面的再组合。在其他实施例中,硼、砷、磷、镓、铝以及其它所属技术领域的技术人员熟知的种类皆可被应用。在其他实施例中,也可使用不会影响掺杂浓度的种类,也就是被称之为非掺质(non-dopants)例如属于Group IV的元素像是碳、硅以及锗。虽然很难在一扩散炉中改变种类,但在一离子植入器例如图1的等离子体掺杂系统100或是图2的光束线离子植入器200中,可借着改变离子源、离子束线或是用以提供掺质原子的气体或固体材料来改变种类。虽然此举会增加图1的等离子体掺杂系统100或是图2的光束线离子植入器200的复杂性与成本,但这些增加还是大幅小于再购买一第二植入器所需要耗费的成本。
第三,在一连锁植入期间可改变被植入的衬底的侧边(side)。藉由在植入中翻转一衬底,可在未破真空(breaking vacuum)的情况下马上于反面进行一第二植入。此翻转(flipping)可经由例如机器人衬底操作装置(robotic substrate handling devices)达成。在一实施例中,结合翻转衬底与改变被植入的种类的动作。举例来说,离子被植入于如图6所示的一指叉背接触太阳能电池的双面。在前表面进行一N+植入420,而在背面进行N+植入450与P+植入440。因此,前表面的植入可被进行,然后翻转衬底,以便完成一太阳能电池的一 硼背面场。在另一特定实施例中,可进行一前面场(front surface field)以及一指叉背接触太阳能电池上的一组接触(contacts)的植入。在另一实施例中,实施如图6所示的N+前面场以及N+背面场。目前的扩散技术无法将不同的剂量加至一个电池的不同面。在未破真空的情况下,在衬底的两面植入可减少制造一太阳能电池所需的制程步骤。
第四,可在一连锁植入期间改变植入的图案。藉由在衬底加入一个掩膜或是在衬底提供多个掩膜,可在太阳能电池的表面进行具有不同分布(distribution)的多种植入。这种掩膜可为一硬掩膜、蔽荫掩膜以及模板掩膜(stencil mask),在一些实施例中,其可阻隔衬底的一些部分不受到植入。在一具体实施例中,图5的太阳能电池的前表面受到掺杂,接着于一衬底300上设置一掩膜,而仅暴露出接触区370。接着进行高剂量的一第二植入,以改善这些区域的导电性。另一实施例中,例如图6与图7所示,一指叉背接触太阳能电池的N+背面场450被植入一N型掺质,同时使用一掩膜来覆盖一部分的表面。接着,使用一第二掩膜,其只暴露P+射极。此一掩膜显示于图8。在设置掩膜之后,植入一P型掺质,此一系列使用不同掩膜的植入创造出指叉背接触太阳能电池所需的接触图案(contact pattern)。因此,当结合种类的改变时,可立刻进行一指叉背接触太阳能电池所有的背接触掺杂。在任何实施例中,所述接触可以由此方法被掺杂在太阳能电池的前面或后面。在成本较高下,埋入式接触法(buried contact approach)能提供一个相似的掺杂计划。在另一实施例中,以一掩膜进行一N++植入,接着则是在没有一掩膜的情形下进行一N+植入。
第五,在连锁植入后的一立即热退火(thermal anneal)可在进行植入的相同腔室中进行。这可减少制程时间与成本。在一植入室进行的退火可为例如一闪退火(flash anneal)、激光退火(laser anneal)、急遽热退火(spike anneal)或其他所属技术领域的技术人员所知的退火方法。
第六,可在一群组工具(cluster tool)中进行这些连锁掺杂。在一特定实施 例中,群组工具可包括如图1所示的多种等离子体掺杂系统100。因此,连锁植入可在多个等离子体掺杂腔室内未破真空的情形下进行,或者可在单一个等离子体掺杂腔室中进行多种掺杂(multiple implants)。
在这里已经使用的术语和表达是作为描述的术语而非限制用,并且没有意图要用这样的术语和表达来排除任何显示和描述的特征(或其部分)的等价者。也应认知到在权利要求的范围内都能进行各种改变。其他改变、变化、和选择也都能做。因此,前面的描述仅仅是例子而非限制。
Claims (20)
1.一种使用衬底制造太阳能电池的方法,包括:
产生一真空,其中该衬底在该真空中受到植入;
使用一第一种类于该衬底的一第一表面进行一第一离子植入;
导入一掩膜图案用以覆盖该衬底的该第一表面的一部分;以及
使用一第二种类于该表面进行一第二离子植入,而该第二种类只有植入于该衬底的该第一表面的一未覆盖部分,其中该第一离子植入、导入该掩膜图案和该第二离子植入是在未破真空的情况下进行。
2.根据权利要求1所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类为相同种类。
3.根据权利要求1所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类包括多个掺质。
4.根据权利要求1所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类为不同种类。
5.根据权利要求1所述的使用衬底制造太阳能电池的方法,其中该第一种类或该第二种类包括一非掺质。
6.根据权利要求1所述的使用衬底制造太阳能电池的方法,其中在该第一离子植入之前加入一第二掩膜图案,使该第一种类只掺杂于该衬底的一部分。
7.一种使用衬底制造太阳能电池的方法,包括:
产生一真空,其中该衬底在该真空中受到植入;
使用一第一种类于该衬底的一第一表面进行一第一离子植入;
翻转该衬底,以暴露一第二表面;
加入一掩膜图案,以覆盖该衬底的该第二表面的一部分;以及
使用一第二种类于该第二表面进行一第二离子植入,而使只有该衬底的该第二表面的一未覆盖部分被植入该第二种类,其中该第一离子植入、翻转该衬底、加入该掩膜图案与该第二植入是在未破真空的情况下进行。
8.根据权利要求7所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类为相同种类。
9.根据权利要求7所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类包括多个掺质。
10.根据权利要求7所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类为不同种类。
11.根据权利要求7所述的使用衬底制造太阳能电池的方法,其中该第一种类或该第二种类包括一非掺质。
12.根据权利要求7所述的使用衬底制造太阳能电池的方法,其中一第二掩膜图案是在该第一离子植入之前加入,因此该第一种类只有掺杂于该衬底的该第一表面的一部分。
13.一种使用衬底制造太阳能电池的方法,包括:
产生一真空,其中该衬底在该真空中受到植入;
使用一第一种类于该衬底的一第一表面进行一第一离子植入;
翻转该衬底,以暴露一第二表面;以及
使用一第二种类于该第二表面进行一第二离子植入,其中该第一离子植入、翻转该衬底与该第二离子植入是在未破真空的情况下进行。
14.根据权利要求13所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类为相同种类。
15.根据权利要求13所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类包括多个掺质。
16.根据权利要求13所述的使用衬底制造太阳能电池的方法,其中该第一种类与该第二种类为不同种类。
17.根据权利要求13所述的方法,其中该第一种类或该第二种类包括一非掺质。
18.根据权利要求13所述的使用衬底制造太阳能电池的方法,其中在该第一离子植入之前加入一第一掩膜图案,使该第一种类只掺杂于该衬底的该第一表面的一部分。
19.根据权利要求18所述的使用衬底制造太阳能电池的方法,其中在该第二离子植入之前加入一第二掩膜图案,使该第二种类只掺杂于该衬底的该第二表面的一部分。
20.根据权利要求13所述的使用衬底制造太阳能电池的方法,其中在该第二离子植入之前加入一掩膜图案,使该第二种类只掺杂于该衬底的该第二表面的一部分。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US3387308P | 2008-03-05 | 2008-03-05 | |
US61/033,873 | 2008-03-05 | ||
US12/397,634 US7727866B2 (en) | 2008-03-05 | 2009-03-04 | Use of chained implants in solar cells |
US12/397,634 | 2009-03-04 | ||
PCT/US2009/036232 WO2009111665A2 (en) | 2008-03-05 | 2009-03-05 | Use of chained implants in solar cells |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102047390A CN102047390A (zh) | 2011-05-04 |
CN102047390B true CN102047390B (zh) | 2013-04-10 |
Family
ID=41054056
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN200980115537XA Expired - Fee Related CN102047390B (zh) | 2008-03-05 | 2009-03-05 | 使用衬底制造太阳能电池的方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US7727866B2 (zh) |
EP (2) | EP2858126B1 (zh) |
JP (1) | JP5802392B2 (zh) |
KR (1) | KR101409925B1 (zh) |
CN (1) | CN102047390B (zh) |
TW (1) | TWI443718B (zh) |
WO (1) | WO2009111665A2 (zh) |
Families Citing this family (387)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8420435B2 (en) * | 2009-05-05 | 2013-04-16 | Solexel, Inc. | Ion implantation fabrication process for thin-film crystalline silicon solar cells |
US20090317937A1 (en) * | 2008-06-20 | 2009-12-24 | Atul Gupta | Maskless Doping Technique for Solar Cells |
US8461032B2 (en) * | 2008-03-05 | 2013-06-11 | Varian Semiconductor Equipment Associates, Inc. | Use of dopants with different diffusivities for solar cell manufacture |
KR20110042051A (ko) | 2008-06-11 | 2011-04-22 | 솔라 임플란트 테크놀로지스 아이엔씨. | 주입을 사용하여 솔라 셀의 제작 |
US20100154870A1 (en) * | 2008-06-20 | 2010-06-24 | Nicholas Bateman | Use of Pattern Recognition to Align Patterns in a Downstream Process |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9076914B2 (en) * | 2009-04-08 | 2015-07-07 | Varian Semiconductor Equipment Associates, Inc. | Techniques for processing a substrate |
US8900982B2 (en) * | 2009-04-08 | 2014-12-02 | Varian Semiconductor Equipment Associates, Inc. | Techniques for processing a substrate |
US9006688B2 (en) * | 2009-04-08 | 2015-04-14 | Varian Semiconductor Equipment Associates, Inc. | Techniques for processing a substrate using a mask |
US8563407B2 (en) * | 2009-04-08 | 2013-10-22 | Varian Semiconductor Equipment Associates, Inc. | Dual sided workpiece handling |
US8330128B2 (en) * | 2009-04-17 | 2012-12-11 | Varian Semiconductor Equipment Associates, Inc. | Implant mask with moveable hinged mask segments |
US9318644B2 (en) | 2009-05-05 | 2016-04-19 | Solexel, Inc. | Ion implantation and annealing for thin film crystalline solar cells |
US20110027463A1 (en) * | 2009-06-16 | 2011-02-03 | Varian Semiconductor Equipment Associates, Inc. | Workpiece handling system |
US8749053B2 (en) | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
US8008176B2 (en) * | 2009-08-11 | 2011-08-30 | Varian Semiconductor Equipment Associates, Inc. | Masked ion implant with fast-slow scan |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8603900B2 (en) * | 2009-10-27 | 2013-12-10 | Varian Semiconductor Equipment Associates, Inc. | Reducing surface recombination and enhancing light trapping in solar cells |
US8465909B2 (en) * | 2009-11-04 | 2013-06-18 | Varian Semiconductor Equipment Associates, Inc. | Self-aligned masking for solar cell manufacture |
US8461030B2 (en) | 2009-11-17 | 2013-06-11 | Varian Semiconductor Equipment Associates, Inc. | Apparatus and method for controllably implanting workpieces |
US8153456B2 (en) * | 2010-01-20 | 2012-04-10 | Varian Semiconductor Equipment Associates, Inc. | Bifacial solar cell using ion implantation |
US8735234B2 (en) * | 2010-02-18 | 2014-05-27 | Varian Semiconductor Equipment Associates, Inc. | Self-aligned ion implantation for IBC solar cells |
US8921149B2 (en) * | 2010-03-04 | 2014-12-30 | Varian Semiconductor Equipment Associates, Inc. | Aligning successive implants with a soft mask |
US8912082B2 (en) * | 2010-03-25 | 2014-12-16 | Varian Semiconductor Equipment Associates, Inc. | Implant alignment through a mask |
US20110272024A1 (en) * | 2010-04-13 | 2011-11-10 | Applied Materials, Inc. | MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS |
US8071418B2 (en) * | 2010-06-03 | 2011-12-06 | Suniva, Inc. | Selective emitter solar cells formed by a hybrid diffusion and ion implantation process |
US8110431B2 (en) | 2010-06-03 | 2012-02-07 | Suniva, Inc. | Ion implanted selective emitter solar cells with in situ surface passivation |
US20110320030A1 (en) * | 2010-06-25 | 2011-12-29 | Varian Semiconductor Equipment Associates, Inc. | Thermal Control of a Proximity Mask and Wafer During Ion Implantation |
US20110139231A1 (en) | 2010-08-25 | 2011-06-16 | Daniel Meier | Back junction solar cell with selective front surface field |
US8216923B2 (en) | 2010-10-01 | 2012-07-10 | Varian Semiconductor Equipment Associates, Inc. | Integrated shadow mask/carrier for patterned ion implantation |
US9231061B2 (en) | 2010-10-25 | 2016-01-05 | The Research Foundation Of State University Of New York | Fabrication of surface textures by ion implantation for antireflection of silicon crystals |
US8242005B1 (en) | 2011-01-24 | 2012-08-14 | Varian Semiconductor Equipment Associates, Inc. | Using multiple masks to form independent features on a workpiece |
TWI455340B (zh) * | 2011-02-25 | 2014-10-01 | Gintech Energy Corp | 太陽能電池的製造方法 |
US8153496B1 (en) | 2011-03-07 | 2012-04-10 | Varian Semiconductor Equipment Associates, Inc. | Self-aligned process and method for fabrication of high efficiency solar cells |
KR101396027B1 (ko) * | 2011-05-27 | 2014-05-19 | 솔렉셀, 인크. | 고효율 후면 접촉 후면 접합 태양 전지의 이온 주입 및 어닐링 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US20120322192A1 (en) * | 2011-06-15 | 2012-12-20 | Varian Semiconductor Equipment Associates, Inc. | Method of defect reduction in ion implanted solar cell structures |
US8658458B2 (en) * | 2011-06-15 | 2014-02-25 | Varian Semiconductor Equipment Associates, Inc. | Patterned doping for polysilicon emitter solar cells |
KR20120140026A (ko) * | 2011-06-20 | 2012-12-28 | 엘지전자 주식회사 | 태양전지 |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US8697559B2 (en) | 2011-07-07 | 2014-04-15 | Varian Semiconductor Equipment Associates, Inc. | Use of ion beam tails to manufacture a workpiece |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) * | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR101969032B1 (ko) * | 2011-09-07 | 2019-04-15 | 엘지전자 주식회사 | 태양전지 및 이의 제조방법 |
US9190548B2 (en) | 2011-10-11 | 2015-11-17 | Varian Semiconductor Equipment Associates, Inc. | Method of creating two dimensional doping patterns in solar cells |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9437392B2 (en) | 2011-11-02 | 2016-09-06 | Varian Semiconductor Equipment Associates, Inc. | High-throughput ion implanter |
WO2013070978A2 (en) | 2011-11-08 | 2013-05-16 | Intevac, Inc. | Substrate processing system and method |
KR20130050721A (ko) | 2011-11-08 | 2013-05-16 | 삼성에스디아이 주식회사 | 태양 전지 |
KR101875747B1 (ko) * | 2011-12-16 | 2018-07-06 | 엘지전자 주식회사 | 태양 전지의 제조 방법 |
FR2985605B1 (fr) * | 2012-01-05 | 2014-10-17 | Commissariat Energie Atomique | Procede de fabrication de composant microelectronique |
JP5892802B2 (ja) * | 2012-02-09 | 2016-03-23 | 住友重機械工業株式会社 | イオン注入方法、搬送容器及びイオン注入装置 |
KR101832230B1 (ko) | 2012-03-05 | 2018-04-13 | 엘지전자 주식회사 | 태양 전지 및 이의 제조 방법 |
US9412895B2 (en) * | 2012-04-04 | 2016-08-09 | Samsung Sdi Co., Ltd. | Method of manufacturing photoelectric device |
NL2008755C2 (en) * | 2012-05-04 | 2013-11-06 | Tempress Ip B V | Method of manufacturing a solar cell and equipment therefore. |
KR101879781B1 (ko) * | 2012-05-11 | 2018-08-16 | 엘지전자 주식회사 | 태양 전지, 불순물층의 형성 방법 및 태양 전지의 제조 방법 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9082799B2 (en) | 2012-09-20 | 2015-07-14 | Varian Semiconductor Equipment Associates, Inc. | System and method for 2D workpiece alignment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI570745B (zh) | 2012-12-19 | 2017-02-11 | 因特瓦克公司 | 用於電漿離子植入之柵極 |
US9196489B2 (en) * | 2013-01-25 | 2015-11-24 | Varian Semiconductor Equipment Associates, Inc. | Ion implantation based emitter profile engineering via process modifications |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
TWI499059B (zh) * | 2013-03-06 | 2015-09-01 | Neo Solar Power Corp | 區塊型摻雜太陽能電池 |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
KR101613843B1 (ko) * | 2013-04-23 | 2016-04-20 | 엘지전자 주식회사 | 태양 전지 및 이의 제조 방법 |
CN104425651B (zh) * | 2013-09-09 | 2016-08-10 | 上海理想万里晖薄膜设备有限公司 | 一种低温制备正面无栅极的异质结太阳电池的工艺 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
WO2015073591A1 (en) * | 2013-11-12 | 2015-05-21 | Solexel, Inc. | Metal foil metallization for backplane-attached solar cells and modules |
US9577134B2 (en) | 2013-12-09 | 2017-02-21 | Sunpower Corporation | Solar cell emitter region fabrication using self-aligned implant and cap |
US9401450B2 (en) | 2013-12-09 | 2016-07-26 | Sunpower Corporation | Solar cell emitter region fabrication using ion implantation |
US9722129B2 (en) | 2014-02-12 | 2017-08-01 | Varian Semiconductor Equipment Associates, Inc. | Complementary traveling masks |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9263625B2 (en) | 2014-06-30 | 2016-02-16 | Sunpower Corporation | Solar cell emitter region fabrication using ion implantation |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20160284913A1 (en) | 2015-03-27 | 2016-09-29 | Staffan WESTERBERG | Solar cell emitter region fabrication using substrate-level ion implantation |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR101833936B1 (ko) | 2017-11-24 | 2018-03-02 | 엘지전자 주식회사 | 태양 전지 및 그 제조 방법 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN110148558A (zh) * | 2019-04-25 | 2019-08-20 | 晶科能源科技(海宁)有限公司 | 匹配hf/hno3体系选择性刻蚀的离子注入磷扩散方法 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11615939B2 (en) | 2021-03-24 | 2023-03-28 | Kla Corporation | Shaped aperture set for multi-beam array configurations |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6552259B1 (en) * | 1999-10-18 | 2003-04-22 | Sharp Kabushiki Kaisha | Solar cell with bypass function and multi-junction stacked type solar cell with bypass function, and method for manufacturing these devices |
KR20060066280A (ko) * | 2004-12-13 | 2006-06-16 | 삼성에스디아이 주식회사 | 태양전지 및 그 제조방법 |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4082958A (en) | 1975-11-28 | 1978-04-04 | Simulation Physics, Inc. | Apparatus involving pulsed electron beam processing of semiconductor devices |
US4070689A (en) * | 1975-12-31 | 1978-01-24 | Motorola Inc. | Semiconductor solar energy device |
JPS531483A (en) | 1976-06-28 | 1978-01-09 | Futaba Denshi Kogyo Kk | Pn junction solar battery and method of producing same |
US4086102A (en) | 1976-12-13 | 1978-04-25 | King William J | Inexpensive solar cell and method therefor |
JPS5551802Y2 (zh) * | 1978-08-17 | 1980-12-02 | ||
JPS58106822A (ja) * | 1981-12-18 | 1983-06-25 | Hitachi Ltd | 不純物導入方法 |
JPS59107576A (ja) * | 1982-12-13 | 1984-06-21 | Agency Of Ind Science & Technol | 混相系アモルフアスシリコン膜の製造方法 |
JPS59152621A (ja) * | 1983-02-21 | 1984-08-31 | Nec Corp | イオン注入装置 |
US4667060A (en) * | 1985-05-28 | 1987-05-19 | Spire Corporation | Back junction photovoltaic solar cell |
JPS62219920A (ja) | 1986-03-20 | 1987-09-28 | Sony Corp | 半導体装置の製造方法 |
JPS63287077A (ja) * | 1987-05-20 | 1988-11-24 | Hitachi Ltd | 光電変換デバイス |
JP2971586B2 (ja) * | 1990-12-21 | 1999-11-08 | 株式会社リコー | 薄膜形成装置 |
US5304509A (en) * | 1992-08-24 | 1994-04-19 | Midwest Research Institute | Back-side hydrogenation technique for defect passivation in silicon solar cells |
JPH07296764A (ja) * | 1994-04-27 | 1995-11-10 | Hitachi Ltd | イオン注入方法およびその装置 |
JPH0878659A (ja) * | 1994-09-02 | 1996-03-22 | Sanyo Electric Co Ltd | 半導体デバイス及びその製造方法 |
US5563095A (en) | 1994-12-01 | 1996-10-08 | Frey; Jeffrey | Method for manufacturing semiconductor devices |
JPH08213339A (ja) * | 1995-02-02 | 1996-08-20 | Hitachi Ltd | イオン注入方法およびその装置 |
GB2343550A (en) | 1997-07-29 | 2000-05-10 | Silicon Genesis Corp | Cluster tool method and apparatus using plasma immersion ion implantation |
US6291326B1 (en) | 1998-06-23 | 2001-09-18 | Silicon Genesis Corporation | Pre-semiconductor process implant and post-process film separation |
US6544862B1 (en) | 2000-01-14 | 2003-04-08 | Silicon Genesis Corporation | Particle distribution method and resulting structure for a layer transfer process |
FR2809867B1 (fr) * | 2000-05-30 | 2003-10-24 | Commissariat Energie Atomique | Substrat fragilise et procede de fabrication d'un tel substrat |
JP2002110637A (ja) * | 2000-09-26 | 2002-04-12 | Applied Materials Inc | マスク部材、マスク部材セット、基体処理方法、半導体装置の製造方法、及び、半導体装置の製造条件決定方法 |
JP2004349508A (ja) * | 2003-05-22 | 2004-12-09 | Applied Materials Inc | 基体処理方法、マスク部材セット、基体処理装置、素子又は半導体装置の製造方法、及び、素子又は半導体装置の製造条件決定方法 |
CN2884873Y (zh) * | 2006-04-13 | 2007-04-04 | 北京师范大学 | 一种用于生物的连续离子注入复合处理生产设备 |
JP2008034543A (ja) * | 2006-07-27 | 2008-02-14 | Kyocera Corp | 光電変換素子およびその製造方法 |
US7776727B2 (en) | 2007-08-31 | 2010-08-17 | Applied Materials, Inc. | Methods of emitter formation in solar cells |
US7820460B2 (en) | 2007-09-07 | 2010-10-26 | Varian Semiconductor Equipment Associates, Inc. | Patterned assembly for manufacturing a solar cell and a method thereof |
-
2009
- 2009-03-04 US US12/397,634 patent/US7727866B2/en not_active Expired - Fee Related
- 2009-03-05 TW TW098107127A patent/TWI443718B/zh not_active IP Right Cessation
- 2009-03-05 EP EP14191623.9A patent/EP2858126B1/en not_active Not-in-force
- 2009-03-05 CN CN200980115537XA patent/CN102047390B/zh not_active Expired - Fee Related
- 2009-03-05 KR KR1020107022022A patent/KR101409925B1/ko active IP Right Grant
- 2009-03-05 WO PCT/US2009/036232 patent/WO2009111665A2/en active Application Filing
- 2009-03-05 EP EP20090717800 patent/EP2248154B1/en not_active Not-in-force
- 2009-03-05 JP JP2010549895A patent/JP5802392B2/ja not_active Expired - Fee Related
-
2010
- 2010-04-14 US US12/760,227 patent/US7888249B2/en not_active Expired - Fee Related
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6552259B1 (en) * | 1999-10-18 | 2003-04-22 | Sharp Kabushiki Kaisha | Solar cell with bypass function and multi-junction stacked type solar cell with bypass function, and method for manufacturing these devices |
KR20060066280A (ko) * | 2004-12-13 | 2006-06-16 | 삼성에스디아이 주식회사 | 태양전지 및 그 제조방법 |
Also Published As
Publication number | Publication date |
---|---|
JP5802392B2 (ja) | 2015-10-28 |
CN102047390A (zh) | 2011-05-04 |
EP2248154B1 (en) | 2015-05-06 |
US7727866B2 (en) | 2010-06-01 |
KR101409925B1 (ko) | 2014-06-20 |
US20100197126A1 (en) | 2010-08-05 |
TW200949913A (en) | 2009-12-01 |
EP2858126A1 (en) | 2015-04-08 |
KR20100136478A (ko) | 2010-12-28 |
WO2009111665A3 (en) | 2009-11-26 |
EP2858126B1 (en) | 2015-11-04 |
TWI443718B (zh) | 2014-07-01 |
EP2248154A4 (en) | 2014-06-11 |
US20090227094A1 (en) | 2009-09-10 |
WO2009111665A2 (en) | 2009-09-11 |
US7888249B2 (en) | 2011-02-15 |
EP2248154A2 (en) | 2010-11-10 |
JP2011513997A (ja) | 2011-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102047390B (zh) | 使用衬底制造太阳能电池的方法 | |
US8546157B2 (en) | Bifacial solar cell using ion implantation | |
US20100224240A1 (en) | Counterdoping for solar cells | |
US8871619B2 (en) | Application specific implant system and method for use in solar cell fabrications | |
US8202789B2 (en) | Implanting a solar cell substrate using a mask | |
US20100323508A1 (en) | Plasma grid implant system for use in solar cell fabrications | |
US8993373B2 (en) | Doping pattern for point contact solar cells | |
WO2009111668A2 (en) | Use of dopants with different diffusivities for solar cell manufacture | |
WO2011019828A2 (en) | Masked ion implant with fast-slow scan | |
JP2010539684A (ja) | 太陽電池製造用のパターン化アセンブリ及び太陽電池の製造方法 | |
US9190548B2 (en) | Method of creating two dimensional doping patterns in solar cells | |
EP2622634B1 (en) | Integrated shadow mask/carrier for ion implantation | |
De Rose et al. | Understanding the impact of the doping profiles on selective emitter solar cell by two-dimensional numerical simulation | |
CN101964301A (zh) | 等离子体滤筛装置、等离子体滤筛方法及其等离子体设备 | |
Schulze et al. | Influence of irradiation-induced defects on the electrical performance of power devices | |
Sun et al. | High on-state current p-type tunnel effect transistor based on doping modulation | |
US9293623B2 (en) | Techniques for manufacturing devices | |
Wang et al. | Design considerations of silicon avalanche cathodes | |
Lu et al. | Investigation of Electrical Characteristics of a Fabricated Lgad Detectors at High and Low Temperatures |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20130410 Termination date: 20210305 |
|
CF01 | Termination of patent right due to non-payment of annual fee |