TWI443718B - 使用基底製造太陽能電池的方法 - Google Patents

使用基底製造太陽能電池的方法 Download PDF

Info

Publication number
TWI443718B
TWI443718B TW098107127A TW98107127A TWI443718B TW I443718 B TWI443718 B TW I443718B TW 098107127 A TW098107127 A TW 098107127A TW 98107127 A TW98107127 A TW 98107127A TW I443718 B TWI443718 B TW I443718B
Authority
TW
Taiwan
Prior art keywords
substrate
species
solar cell
vacuum
mask
Prior art date
Application number
TW098107127A
Other languages
English (en)
Other versions
TW200949913A (en
Inventor
Nicholas P T Bateman
Paul J Murphy
Paul Sullivan
Atul Gupta
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW200949913A publication Critical patent/TW200949913A/zh
Application granted granted Critical
Publication of TWI443718B publication Critical patent/TWI443718B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0682Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0822Multiple sources
    • H01J2237/0827Multiple sources for producing different ions sequentially
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • H01J2237/31706Ion implantation characterised by the area treated
    • H01J2237/3171Ion implantation characterised by the area treated patterned
    • H01J2237/31711Ion implantation characterised by the area treated patterned using mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Photovoltaic Devices (AREA)

Description

使用基底製造太陽能電池的方法
本發明是關於離子植入,特別是關於太陽能電池的離子植入。
離子植入是用以將改變導電率的雜質引入到半導體基底(substrate)的標準技術。在離子源中,所需的雜質材質被離子化。離子被加速以形成具有特定能量的離子束,並且離子束被導引到基底的表面。離子束中的高能離子穿透半導體材料的主體並埋入於半導體材質的晶格中,以形成具有所希望的導電率的一區域。
太陽能電池基本上是以與其他半導體元件相同的製程所製成,且時常使用矽為基底材料。半導體太陽能電池為一簡單元件,其具有一內建電場而可分離在半導體材料中由吸收光子所產生之電荷載子(charge carrier)。此電場是由P-N接面(二極體)的形成所產生,其中P-N接面是藉由摻雜不同半導體材料產生。在半導體基底的一部分(例如表面區域)摻雜相反極性的雜質會形成一P-N接面(p-n junction),其可用為一轉換光源為電力的太陽能元件。
圖3表示於一太陽能電池的第一實施例以及一代表基底300之剖面圖。光子301由一上表面305進入一太陽能電池300,如箭頭所示。這些光子通過一抗反射塗膜310,其設計以最大化穿透基底300的光子數,並最小化被反射遠離基底的光子數。
詳細而言,基底300被形成以獲得一P-N接面320。雖然在其他範例中,接面不一定平行於表面,但此接面實質上平行於基底300之上表面305。太陽能電池的製造過程中,光子經由一高摻雜區域,也就是一射極330,進入基底。在一些實施例中,射極330可為一N型摻雜區域,而在其他實施例中,射極330可為一P型摻雜區域。具有充分能(高於半導體之能帶隙)的光子能夠促動位於半導體材料之共價帶的一電子至導電帶。與此自由電子結合的是在共價帶中對應的一正電荷電洞。為了產生可驅動一外接負載的一光電流,這些電子-電洞(e-h)對需要被分離,此過程是以P-N接面的內建電場完成。因此,P-N接面的耗乏區所產生的任一電子電洞(e-h)對都會被分開,而任何其它擴散至元件之耗乏區的少數載子(minority carrier)也會同樣的被分開。由於多數的入射光子會被吸收至元件的近表面區域,產生於射極的少數載子需要擴散過射極的深度以到達耗乏區並被掃至另一邊。因此,為最大化光生電流的收集以及最小化載子於射極中再結合的機會,使用極淺的射極330會有較佳效果。
一些光子通過射極區域330並進入一基極340。當射極330為一N型區域時,基極340是一P型摻雜區域。且這些光子即可激發基極340內可自由的進入射極330的電子,而對應的電洞則是留在基極340中。另一方面,當射極330是一P型摻雜區域時,基極340則會是一N型摻雜區域。在此情形下,光子便可激發基極340內的電子,這 些電子會留在基極340中,而對應的電洞則是會移動至射極330。由此P-N接面所導致的電荷分離,可使由光子所產生的多餘載子(電子以及電洞)被用以驅動一外部負載而完成電路。
藉由一外部負載外部連接射極330到基極340,其便可導電因進而提供功率。為達成此目的,接觸(contacts)350a(基本上為金屬)會被分別置於射極330以及基極340的外表面。由於基極並不會直接接收光子,因此,其接觸350b會沿著整個外表面放置。另一方面,射極330之外表面會接收光子,而因此無法完整的被接觸所覆蓋。然而,若電子必須移動很長的距離以抵達接觸,電池的串聯電阻則會增加,使功率輸出減少。為了試著平衡這兩個因素(自由電子移動到接觸需要的距離以及暴露出的射極表面360的量),多數的應用使用指形的接觸350a。圖4顯示圖3之太陽能電池的上視圖。形成的這些接觸相對較薄,同時延伸太陽能電池的寬度。如此一來,自由的電子不需移動很長的距離,但射極大部分的外表面都暴露於光子。在基底之前表面上的接觸指形物(fingers)350a一般為0.1mm,且具有+/- 0.1mm的準確度。這些指形物350a之間一般都有1-5mm的間隔。雖然上述的是較為常見的尺寸,但其他尺寸也是可以使用並為本發明所預期。
太陽能電池的進一步加強是高摻雜基底接觸區的加入。圖5顯示此加強版太陽能電池的一剖面圖。此電池與圖3之電池相似,但更包括高摻雜接觸區370。這些高摻雜接觸 區370對應於金屬指形物370固定在基底300的區域。這些高摻雜接觸區370的加入會使基底300和金屬指形物350a之間有更良好的接觸,並且大幅降低電池的串聯電阻。此在基底之表面上具有高摻雜接觸區的圖案通常被稱為選擇性射極設計。
藉由因為在射極層之暴露區域中的低摻質/雜質劑量所導致的再結合(recombination),而使少數載子損耗減少,所以用於太陽能電池的一種選擇性射極設計也具有高效率電池的優點。接觸區中的較高摻雜將提供一個會排斥射極產生之少數載子的場(field),並將少數載子推向P-N接面。
圖3所示之實施例在基底的兩端都需要接觸,進而減少可讓光子通過的前表面之可用面積。圖6顯示一太陽能電池400的一第二實施例之剖面圖。在根本上,本實施例中所包含的物理跟前述實施例十分相似,也就是一P-N接面被用以產生一電場,其可分離所產生的電子-電洞對。然而,和在整個基底產生P-N接面的前實施例不同,接面只會在基底400的部分產生。本實施例可使用一負摻雜矽基底410。在某些的實施例中,一較負偏壓的前面場420(front surface field)會由加入N型摻質至前表面所產生。此前表面則會被塗佈一層抗反射材料430(anti-reflective material)。此前表面通常會被蝕刻以產生一鋸齒或其他非平面的表面,進而增加表面積。金屬接觸或指形物470a、470b,皆位於基底的底面。底面的某些部分摻雜P型摻質以產生射極440。其他部分則是摻雜N型摻質以產生較負 偏壓的背面場450(back surface field)。背表面塗佈著一介電層460以增加背表面之反射能力。金屬指形物470a會附接到射極440,而指形物470b會附接到背面場450。圖7表示在背表面常用的金屬指形物。此類電池被稱為一指叉背接觸(interdigitated back contact,IBC)太陽能電池。
因現前的能源成本以及環境考量,太陽能電池在全世界已經變得越來越重要。任何製造或是生產高性能太陽能電池的成本的減少或者任何高性能太陽能電池的效率之改善,都會對全球的太陽能電池實體化提供正面影響。同時,也能夠讓此無污染的能源科技有更寬闊的利用空間。
目前太陽能電池的設計限制於摻質的輪廓,其輪廓可由擴散摻質至太陽能電池的矽中達成。太陽能電池的設計同時也限制於使用不同摻質以及摻雜太陽能電池不同部分的難度。其他額外摻雜步驟的成本限制商業用的太陽能電池。有鑒於此,業界需要太陽能電池中改良離子植入的方法,且特別是,一種可讓離子植入器對太陽能電池進行連續摻雜步驟的方法。
太陽能電池可使用以下所述之方法簡化其製程並減少成本。在未破真空的情況下,藉由進行連續的植入可更快速的生產太陽能電池。除此之外,連鎖離子植入的使用也可改善太陽能電池的性能。在一實施例中,進行兩次植入,其中使用的離子種類相同,但植入之間的植入能不相同。另一實施例中,基底在退火之前受到翻轉並接著於其兩面 進行植入。在又一實施例中,使用一或多個不同罩幕,且在不破真空的情況下進行連續的植入。
圖1為可提供離子以摻雜一選擇的材料之一電漿摻雜系統(plasma doping system)100的方塊圖。圖2為可提供離子以摻雜一選擇的材料之一光束線離子植入器(beam-line ion implanter)200的方塊圖。本發明所屬技術領域中的人員應理解的是所述電漿摻雜系統100與所述光束線離子植入器200分別只是提供離子以摻雜一選擇材料的不同電漿摻雜系統和光束線離子植入器的其中一種範例。這種製程也可以用其他離子植入系統進行,例如一非質量分析流體植入器(non-mass analyzed flood implanter)、其他基底或半導體晶圓處理設備;上述系統中的兩個或兩個以上的組合。
請參照圖1,電漿摻雜系統100包括定義一圍閉體積(enclosed volume)103之一處理室102。一平台134可被放置於處理室102中,以支撐一基底138。在一範例中,基底138可為具有一盤形的一半導體基底,例如於一實施例中,其可為直徑300毫米(mm)的一矽晶圓。此基底138可為一太陽能電池。本基底138可經由靜電力或機械力被鉗至平台134的一平面。在一實施例中,平台134可包括用以連接至基底138的多個導電接腳(未展示)。
一氣體源(gas source)104經由一質量流控制器(mass flow controller)106提供一摻質氣體(dopant gas)至處理室102之內部體積103。一氣體阻流板(baffle)170位於處理室 102,以讓氣體源104的氣體流轉向。一壓力表(pressure gauge)108測量處理室102內的壓力。一真空幫浦(vacuum pump)112經由處理室102的一排氣口110將廢氣自處理室102排出。一排氣閥114藉由排氣口110控制排氣傳導。
電漿摻雜系統100可進一步包括一氣壓控制器116,其電性連接至質量流控制器106、壓力表108以及排氣閥114。氣壓控制器116可藉由排氣閥114控制排氣傳導或是由反應壓力表108的一回饋迴路(feedback loop)中之質量流控制器106控制一處理氣體流速率而保持在處理室102中所想要的壓力。
處理室102可具有一室頂118,其包括以一介電材料(dielectric material)形成且往平行方向延伸的一第一部分120。室頂118同時包括以一介電材料形成且從第一部分120往垂直方向延伸一高度的一第二部分122。室頂118更包括一個蓋(lid)124,其是以一導電導熱材料形成,且於平行方向延伸過第二部分122。
電漿摻雜系統100更包括一電源(source)101用以於處理室102中產生一電漿140。電源101可包括一射頻源(RF source)150例如一電源供應器(power supply),以提供射頻功率給一平面天線(planar antenna)126和一螺旋形天線(helical antenna)146或兩者的其中之一,以產生電漿140。為了將從射頻源150轉移到RF射頻天線126以及146的功率最大化,射頻源150可藉由將射頻源150的輸出阻抗(impedance)配對至射頻天線126以及146的阻抗的一阻抗 匹配網路(impedance matching network)152耦接至天線126以及146。
電漿摻雜系統100可同時包括一偏壓電源供應器148,其電性耦接至平台134。偏壓電源供應器148被用以提供具有脈衝開關(ON and OFF)時間週期的一脈衝平台信號(pulsed platen signal)以加偏壓於平台134,而因此加偏壓於基底138,並在脈衝開的時間週期而非在脈衝關的時間週期促使離子離開電漿140接近基底138。偏壓電源供應器148可為一直流電源供應器或一射頻電源供應器。
電漿摻雜系統100更包括置於平台134周圍的一遮蔽環(shield ring)194。由於本技術領域已知此遮蔽環194可被加偏壓,以改善於基底138邊緣佈值離子分布的均勻度(uniformity)。一或多個像是一環形法拉第感應器(annular Faraday sensors)199的法拉第感應器可被放在遮蔽環194中,以感應離子束電流。
電漿摻雜系統100更包括一控制器156以及一使用者介面系統(user interface system)158。控制器156可以是或可包括一通用(general-purpose)電腦或多個通用電腦的一網路,且其可被設定以進行所希望的輸入/輸出功能。控制器156可同時包括其他電子電路學或如特定用途的積體電路、其它固線式或可設定的電子元件、個別的元件電路等的構件(components)。控制器156可同時包括通訊元件、資料儲存元件以及軟體。為了清楚說明,控制器156在此僅提供一輸出信號給電源供應器148以及150並自法拉第感應器 199接收輸入信號。所屬技術領域中具有通常知識者應知控制器156可提供輸出信號給電漿摻雜系統100的其他構件並自電漿摻雜系統接收輸入信號。使用者介面系統158可包括像是觸碰式螢幕、鍵盤、使用者指向裝置(user pointing devices)、顯示器、印表機等的裝置,讓使用者輸入指令以及/或資料以及/或藉由控制器156監視電漿摻雜系統100。
就操作上來說,氣體源104提供含有一所希望的摻質的一主要摻質氣體,以佈值入基底138。氣壓控制器116調控主要摻質氣體被提供至處理室102的速率。電源101用以在處理室102內產生電漿140。電源101可由控制器156控制。為了產生電漿140,射頻源150在至少射頻天線126、146中的其中之一共振射頻電流,以產生一振盪磁場(oscillating magnetic field)。振盪磁場將射頻電流導入處理室102。處理室102中的射頻電流激發並離子化主要摻質氣體以產生電漿140。
偏壓電源供應器148提供一脈衝平台信號,以加偏壓於平台134,也因此在脈衝平台信號的脈衝開(ON)期間,加偏壓於基底138以促使離子離開電漿140接近基底138。脈衝平台信號的頻率以及/或脈衝的工作循環可被選擇,以提供一所希望的劑量率(dose rate)。可選擇脈衝平台信號的振幅,用以提供一所希望的能量。當其他所有的參數皆相同時,較大的能量會產生較大的佈值深度(implanted depth)。
請參照圖2,圖2為可提供離子以摻雜一選擇的材料之一光束線離子植入器200的方塊圖。所屬技術領域中具有通常知識者應知光束線離子植入器200只是許多可提供離子以摻雜一選擇的材料之光束線離子植入器的其中一個範例。
一般來說,光束線離子植入器200包括一離子源280,以產生形成一離子束281的離子。離子源280可包括一離子腔室283以及包含將被離子化的一氣體之一氣體箱。接著,氣體被提供至離子腔室283,並受到離子化。形成的離子接著從離子腔室283抽出形成離子束281。離子束281被引導至一分解磁鐵(resolving magnet)282的兩極之間。一電源供應器被連結至離子源280的一萃取電極(extraction electrode),並在一高電流離子植入器中提供一可調整電壓,其例如在0.2keV與80keV之間。因此,來自離子源280的被個別充電的離子可藉由所述可調整電壓被加速至約0.2keV到80keV的能量。
離子束281通過一抑制電極(suppression electrode)284以及一接地電極285到一質量分析器(mass analyzer)286。質量分析器286包括分解磁鐵282和具有一分解孔徑(aperture)289的一罩幕電極(masking electrode)288。分解磁鐵282使離子束281中的離子轉向,而讓一所想要的離子種類之離子通過分解孔徑289。不需要的離子種類則不會通過分解孔徑289,而會被罩幕電極288擋住。在一實施例中,分解磁鐵282將所想要種類的離子轉向約90°。
所想要的離子種類的離子通過分解孔徑289而達到角度校正磁鐵(angle corrector magnet)294。角度校正磁鐵294將所想要的離子種類的離子轉向,並將離子束從一發散(diverging)離子束轉換為一帶狀離子束212(ribbon ion beam),其實質上平行於離子軌道(ion trajectories)。在一實施例中,角度校正磁鐵294將所想要的離子種類的離子轉向70°。在一些實施例中,光束線離子植入器200更可包括加速或減速的零件(units)。
在帶狀離子束212的路徑中,一終端站(end station)211支撐一或多個工件例如基底138,而使所想要的種類的離子被植入到基底138中。基底138可為例如一矽晶圓。此基底138可為一太陽能電池。終端站211包括一平台295以支撐基底138。終端站211同時包括一掃瞄器(未顯示),以將基底138垂直移動至帶狀離子束212剖面的長邊,進而使離子分佈於基底138的整個表面。雖然此處說明的為帶狀離子束212,但其他實施例可提供一點束(spot beam)。
離子植入器可包括所屬技術領域中具有通常知識者所知的附加構件。舉例來說,終端站211一般包括自動化工件操作設備,以將工件加入光束線離子植入器200,並在完成離子植入後將工件移除。終端站211也可包括一劑量測量系統,一電子流槍(electron flood gun)或其他已知構件。所屬技術領域中具有通常知識者應了解,在離子植入期間,被離子束橫越的整個路徑會被抽真空。在一些實施例中,光束線離子植入器200可合併離子的熱或冷植入。
進行兩次或兩次以上的植入可以增加產量(productivity)以及太陽能電池效率。這些植入是在未破真空的情況下,以相同的植入工具進行的一個「連鎖植入」,或一個馬上接續另一個的植入。除了降低成本以及改善產量和太陽能電池效能之外,本方法更可進一步改善摻質輪廓。連鎖植入可被合併至太陽能電池生產製程的方法有很多種,這些製程可在圖1之電漿摻雜系統100或是圖2之光束線離子植入器200、其他離子植入系統例如一非質量分析流體植入器、其他基底或半導體晶圓製程設備;或兩個或兩個以上系統的組合中進行。
首先,在一連鎖植入中可進行兩次或兩次以上在不同能量的植入。能量的範圍將提供摻質輪廓一個詳細裁剪(tailoring)。舉例來說,若要在例如圖3的一前接觸電池(front contact cell)中加入射極330,可進行一深、低劑量的植入,以防止接觸350a縮短接面(junction)。另一方面,也可進行一淺、高劑量的植入,以在電池表面產生一高劑量濃度。這些植入的組合產生一摻質輪廓,其可減少離開前表面而往背表面之移動。如此的輪廓也可經由產生可促使少數載子接近P-N接面的一導向電場,而使再結合(recombination)最小化。在一實施例中,在離子束接觸到平台上之太陽能電池之前加入一減速電壓(deceleration voltage),可改變圖2之光束線離子植入器200的離子束能量。
第二,可在未移動基底的情況下,在一連鎖植入中進行不同種類的植入。在一實施例中,除了磷之外,砷也被當 作一N型摻質。接著,之後的熱製程會利用這兩個N型摻質的不同特性。舉例來說,一摻質可能可以擴散得較深入基底中,而另一個則是較接近表面,因而產生一摻質梯度。此摻質梯度有助於最小化表面的再組合。在其他實施例中,硼、砷、磷、鎵、鋁以及其它所屬技術領域中具有通常知識者熟知的種類皆可被應用。在其他實施例中,也可使用不會影響摻雜濃度的種類,也就是被稱之為非摻質(non-dopants)例如屬於Group IV的元素像是碳、矽以及鍺。雖然很難在一擴散爐中改變種類,但在一離子植入器例如圖1之電漿摻雜系統100或是圖2之光束線離子植入器200中,可藉著改變離子源、離子束線或是用以提供摻質原子的氣體或固體材料來改變種類。雖然此舉會增加圖1之電漿摻雜系統100或是圖2之光束線離子植入器200的複雜性與成本,但這些增加還是大幅小於再購買一第二植入器所需要耗費的成本。
第三,在一連鎖植入期間可改變被植入之基底的側邊(side)。藉由在植入中翻轉一基底,可在未破真空(breaking vacuum)的情況下馬上於反面進行一第二植入。此翻轉(flipping)可經由例如機器人基底操作裝置(robotic substrate handling devices)達成。在一實施例中,結合翻轉基底與改變被植入的種類的動作。舉例來說,離子被植入於如圖6所示之一指叉背接觸太陽能電池的雙面。在前表面進行一N+植入420,而在背面進行N+植入450與P+植入440。因此,前表面的植入可被進行,然後翻轉基底,以便完成 一太陽能電池的一硼背面場。在另一特定實施例中,可進行一前面場(front surface field)以及一指叉背接觸太陽能電池上的一組接觸(contacts)之植入。在另一實施例中,實施如圖6所示之N+前面場以及N+背面場。目前的擴散技術無法將不同的劑量加至一個電池的不同面。在未破真空的情況下,在基底的兩面植入可減少製造一太陽能電池所需的製程步驟。
第四,可在一連鎖植入期間改變植入的圖案。藉由在基底加入一個罩幕或是在基底提供多個罩幕,可在太陽能電池的表面進行具有不同分佈(distribution)之多種植入。這種罩幕可為一硬罩幕、蔽蔭罩幕以及模板罩幕(stencil mask),在一些實施例中,其可阻隔基底的一些部分不受到植入。在一具體實施例中,圖5之太陽能電池的前表面受到摻雜,接著於一基底300上設置一罩幕,而僅暴露出接觸區370。接著進行高劑量的一第二植入,以改善這些區域的導電性。另一實施例中,例如圖6與圖7所示,一指叉背接觸太陽能電池之N+背面場450被植入一N型摻質,同時使用一罩幕來覆蓋一部分的表面。接著,使用一第二罩幕,其只暴露P+射極。此一罩幕顯示於圖8。在設置罩幕之後,植入一P型摻質,此一系列使用不同罩幕的植入創造出指叉背接觸太陽能電池所需的接觸圖案(contact pattern)。因此,當結合種類的改變時,可立刻進行一指叉背接觸太陽能電池所有的背接觸摻雜。在任何實施例中,所述接觸可以由此方法被摻雜在太陽能電池的前 面或後面。在成本較高下,埋入式接觸法(buried contact approach)能提供一個相似的摻雜計畫。在另一實施例中,以一罩幕進行一N++植入,接著則是在沒有一罩幕的情形下進行一N+植入。
第五,在連鎖植入後的一立即熱退火(thermal anneal)可在進行植入的相同腔室中進行。這可減少製程時間與成本。在一植入室進行的退火可為例如一閃退火(flash anneal)、雷射退火(laser anneal)、急遽熱退火(spike anneal)或其他所屬技術領域中具有通常知識者所知之退火方法。
第六,可在一群組工具(cluster tool)中進行這些連鎖摻雜。在一特定實施例中,群組工具可包括如圖1所示之多種電漿摻雜系統100。因此,連鎖植入可在多個電漿摻雜腔室內未破真空的情形下進行,或者可在單一個電漿摻雜腔室中進行多種摻雜(multiple implants)。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧電漿摻雜系統
101‧‧‧電源
102‧‧‧處理室
103‧‧‧圍閉體積
104‧‧‧氣體源
106‧‧‧質量流控制器
108‧‧‧壓力表
110‧‧‧排氣口
112‧‧‧真空幫浦
114‧‧‧排氣閥
116‧‧‧氣壓控制器
118‧‧‧室頂
120‧‧‧第一部分
122‧‧‧第二部分
124‧‧‧蓋
126‧‧‧平面天線
134‧‧‧平台
138‧‧‧基底
140‧‧‧電漿
146‧‧‧螺旋形天線
148‧‧‧偏壓電源供應器
150‧‧‧射頻源
152‧‧‧阻抗匹配網路
156‧‧‧控制器
158‧‧‧使用者介面系統
170‧‧‧氣體阻流板
194‧‧‧遮蔽環
199‧‧‧法拉第感應器
200‧‧‧光束線離子植入器
211‧‧‧終端站
212‧‧‧帶狀離子束
280‧‧‧離子源
281‧‧‧離子束
282‧‧‧分解磁鐵
283‧‧‧離子腔室
284‧‧‧抑制電極
285‧‧‧接地電極
286‧‧‧質量分析器
288‧‧‧罩幕電極
289‧‧‧分解孔徑
294‧‧‧角度校正磁鐵
295‧‧‧平台
300‧‧‧基底
301‧‧‧光子
305‧‧‧上表面
310‧‧‧抗反射塗膜
320‧‧‧P-N接面
330、440‧‧‧射極
340‧‧‧基極
350a、350b‧‧‧接觸
360‧‧‧射極表面
370‧‧‧高摻雜接觸區
400‧‧‧太陽能電池
410‧‧‧負摻雜矽基底
420‧‧‧前面場
430‧‧‧抗反射材料
450‧‧‧背面場
460‧‧‧介電層
470a、470b‧‧‧指形物
圖1為一種用來摻雜離子至一材料的電漿摻雜系統之方塊圖。
圖2為一種用來摻雜離子至一材料的光束線離子植入器之方塊圖。
圖3顯示習知技術之一種太陽能電池的剖面圖。
圖4顯示圖3之太陽能電池之上視圖
圖5顯示使用選擇性射極設計的一種太陽能電池之剖面圖。
圖6顯示習知技術之一種第二類型之太陽能電池的剖面圖。
圖7顯示圖6之太陽能電池的下視圖。
圖8顯示用於圖7之太陽能電池之一種罩幕。
300‧‧‧基底
301‧‧‧光子
305‧‧‧上表面
310‧‧‧抗反射塗膜
320‧‧‧P-N接面
330‧‧‧射極
340‧‧‧基極
350a、350b‧‧‧接觸
370‧‧‧高摻雜接觸區

Claims (16)

  1. 一種使用基底製造太陽能電池的方法,包括:產生一真空,其中該基底是受到植入以製造該太陽能電池;在該真空中使用一第一種類於該基底之一第一表面的整面上進行一第一離子植入;在該真空中導入具有一第一表面朝向該基底之該第一表面並與該基底之該第一表面相隔開的一罩幕,該罩幕具有多數個開口用以暴露該基底之該第一表面的相對部分;以及在該真空中使用一第二種類於該第一表面進行一第二離子植入,其中該第二種類只有植入於該基底的該第一表面之該暴露部分,且其中該第一離子佈值、該第二離子植入和導入該罩幕是在未破該真空的情況下進行。
  2. 如專利申請範圍第1項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類為相同種類。
  3. 如專利申請範圍第1項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類包括多個摻質。
  4. 如專利申請範圍第1項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類為不同種類。
  5. 如專利申請範圍第1項所述之使用基底製造太陽能電池的方法,其中該第一種類或該第二種類包括一非摻質。
  6. 一種使用基底製造太陽能電池的方法,包括: 產生一真空,其中該基底是受到植入以製造該太陽能電池;在該真空中使用一第一種類於該基底之一第一表面的整面上進行一第一離子植入;在該真空中翻轉該基底,以暴露該基底之一第二表面;在該真空中加入具有一第一表面朝向該基底之該第二表面並與該基底之該第二表面相隔開的一罩幕,該罩幕具有多數個開口,以暴露該基底之該第二表面的相對部分;以及在該真空中使用一第二種類於該第二表面進行一第二離子植入,其中只有該基底之該第二表面的該暴露部分被植入該第二種類,且其中該第一離子植入、該第二離子植入、翻轉該基底和加入該罩幕均是在未破該真空的情況下進行。
  7. 如專利申請範圍第6項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類為相同種類。
  8. 如專利申請範圍第6項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類包括多個摻質。
  9. 如專利申請範圍第6項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類為不同種類。
  10. 如專利申請範圍第6項所述之使用基底製造太陽能電池的方法,其中該第一種類或該第二種類包括一非摻質。
  11. 一種使用基底製造太陽能電池的方法,包括: 產生一真空,其中該基底是受到植入以製造該太陽能電池;在該真空中使用一第一種類於該基底之一第一表面的整面上進行一第一離子植入;在該真空中翻轉該基底,以暴露該基底之一第二表面;以及在該真空中使用一第二種類於該第二表面進行一第二離子植入,其中該第一離子植入、翻轉該基底與該第二離子植入是在未破該真空的情況下進行。
  12. 如專利申請範圍第11項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類為相同種類。
  13. 如專利申請範圍第11項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類包括多個摻質。
  14. 如專利申請範圍第11項所述之使用基底製造太陽能電池的方法,其中該第一種類與該第二種類為不同種類。
  15. 如專利申請範圍第11項所述之方法,其中該第一種類或該第二種類包括一非摻質。
  16. 如專利申請範圍第11項所述之使用基底製造太陽能電池的方法,其中在該第二離子植入之前更包括在該真空中未破該真空的情況下加入一罩幕,其中該罩幕具有一第一表面朝向該基底之該第二表面並與該基底之該第二表面相隔開,且其中該罩幕具有多數個開口用以暴露該基底 之該第二表面的相對部分,使該第二種類只摻雜於該基底之該第二表面的該暴露部分。
TW098107127A 2008-03-05 2009-03-05 使用基底製造太陽能電池的方法 TWI443718B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3387308P 2008-03-05 2008-03-05
US12/397,634 US7727866B2 (en) 2008-03-05 2009-03-04 Use of chained implants in solar cells

Publications (2)

Publication Number Publication Date
TW200949913A TW200949913A (en) 2009-12-01
TWI443718B true TWI443718B (zh) 2014-07-01

Family

ID=41054056

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098107127A TWI443718B (zh) 2008-03-05 2009-03-05 使用基底製造太陽能電池的方法

Country Status (7)

Country Link
US (2) US7727866B2 (zh)
EP (2) EP2858126B1 (zh)
JP (1) JP5802392B2 (zh)
KR (1) KR101409925B1 (zh)
CN (1) CN102047390B (zh)
TW (1) TWI443718B (zh)
WO (1) WO2009111665A2 (zh)

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8420435B2 (en) * 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US20090317937A1 (en) * 2008-06-20 2009-12-24 Atul Gupta Maskless Doping Technique for Solar Cells
US8461032B2 (en) * 2008-03-05 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Use of dopants with different diffusivities for solar cell manufacture
EP2304803A1 (en) * 2008-06-11 2011-04-06 Solar Implant Technologies Inc. Solar cell fabrication using implantation
US20100154870A1 (en) * 2008-06-20 2010-06-24 Nicholas Bateman Use of Pattern Recognition to Align Patterns in a Downstream Process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9006688B2 (en) * 2009-04-08 2015-04-14 Varian Semiconductor Equipment Associates, Inc. Techniques for processing a substrate using a mask
US9076914B2 (en) * 2009-04-08 2015-07-07 Varian Semiconductor Equipment Associates, Inc. Techniques for processing a substrate
US8563407B2 (en) * 2009-04-08 2013-10-22 Varian Semiconductor Equipment Associates, Inc. Dual sided workpiece handling
US8900982B2 (en) * 2009-04-08 2014-12-02 Varian Semiconductor Equipment Associates, Inc. Techniques for processing a substrate
US8330128B2 (en) * 2009-04-17 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Implant mask with moveable hinged mask segments
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US20110027463A1 (en) * 2009-06-16 2011-02-03 Varian Semiconductor Equipment Associates, Inc. Workpiece handling system
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8008176B2 (en) * 2009-08-11 2011-08-30 Varian Semiconductor Equipment Associates, Inc. Masked ion implant with fast-slow scan
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8603900B2 (en) * 2009-10-27 2013-12-10 Varian Semiconductor Equipment Associates, Inc. Reducing surface recombination and enhancing light trapping in solar cells
US8465909B2 (en) * 2009-11-04 2013-06-18 Varian Semiconductor Equipment Associates, Inc. Self-aligned masking for solar cell manufacture
US8461030B2 (en) 2009-11-17 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for controllably implanting workpieces
US8153456B2 (en) * 2010-01-20 2012-04-10 Varian Semiconductor Equipment Associates, Inc. Bifacial solar cell using ion implantation
US8735234B2 (en) * 2010-02-18 2014-05-27 Varian Semiconductor Equipment Associates, Inc. Self-aligned ion implantation for IBC solar cells
US8921149B2 (en) * 2010-03-04 2014-12-30 Varian Semiconductor Equipment Associates, Inc. Aligning successive implants with a soft mask
US8912082B2 (en) * 2010-03-25 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Implant alignment through a mask
US20110272024A1 (en) * 2010-04-13 2011-11-10 Applied Materials, Inc. MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
US8110431B2 (en) * 2010-06-03 2012-02-07 Suniva, Inc. Ion implanted selective emitter solar cells with in situ surface passivation
US8071418B2 (en) 2010-06-03 2011-12-06 Suniva, Inc. Selective emitter solar cells formed by a hybrid diffusion and ion implantation process
US20110320030A1 (en) * 2010-06-25 2011-12-29 Varian Semiconductor Equipment Associates, Inc. Thermal Control of a Proximity Mask and Wafer During Ion Implantation
US20110139231A1 (en) * 2010-08-25 2011-06-16 Daniel Meier Back junction solar cell with selective front surface field
US8216923B2 (en) 2010-10-01 2012-07-10 Varian Semiconductor Equipment Associates, Inc. Integrated shadow mask/carrier for patterned ion implantation
US9231061B2 (en) 2010-10-25 2016-01-05 The Research Foundation Of State University Of New York Fabrication of surface textures by ion implantation for antireflection of silicon crystals
US8242005B1 (en) 2011-01-24 2012-08-14 Varian Semiconductor Equipment Associates, Inc. Using multiple masks to form independent features on a workpiece
TWI455340B (zh) * 2011-02-25 2014-10-01 Gintech Energy Corp 太陽能電池的製造方法
US8153496B1 (en) 2011-03-07 2012-04-10 Varian Semiconductor Equipment Associates, Inc. Self-aligned process and method for fabrication of high efficiency solar cells
WO2012166749A2 (en) * 2011-05-27 2012-12-06 Solexel, Inc. Ion implantation and annealing for high efficiency back-contact back-junction solar cells
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8658458B2 (en) * 2011-06-15 2014-02-25 Varian Semiconductor Equipment Associates, Inc. Patterned doping for polysilicon emitter solar cells
US20120322192A1 (en) * 2011-06-15 2012-12-20 Varian Semiconductor Equipment Associates, Inc. Method of defect reduction in ion implanted solar cell structures
KR20120140026A (ko) * 2011-06-20 2012-12-28 엘지전자 주식회사 태양전지
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8697559B2 (en) 2011-07-07 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Use of ion beam tails to manufacture a workpiece
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101969032B1 (ko) 2011-09-07 2019-04-15 엘지전자 주식회사 태양전지 및 이의 제조방법
US9190548B2 (en) 2011-10-11 2015-11-17 Varian Semiconductor Equipment Associates, Inc. Method of creating two dimensional doping patterns in solar cells
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9437392B2 (en) 2011-11-02 2016-09-06 Varian Semiconductor Equipment Associates, Inc. High-throughput ion implanter
SG10201508582WA (en) 2011-11-08 2015-11-27 Intevac Inc Substrate processing system and method
KR20130050721A (ko) 2011-11-08 2013-05-16 삼성에스디아이 주식회사 태양 전지
KR101875747B1 (ko) * 2011-12-16 2018-07-06 엘지전자 주식회사 태양 전지의 제조 방법
FR2985605B1 (fr) * 2012-01-05 2014-10-17 Commissariat Energie Atomique Procede de fabrication de composant microelectronique
JP5892802B2 (ja) * 2012-02-09 2016-03-23 住友重機械工業株式会社 イオン注入方法、搬送容器及びイオン注入装置
KR101832230B1 (ko) 2012-03-05 2018-04-13 엘지전자 주식회사 태양 전지 및 이의 제조 방법
US9412895B2 (en) 2012-04-04 2016-08-09 Samsung Sdi Co., Ltd. Method of manufacturing photoelectric device
NL2008755C2 (en) 2012-05-04 2013-11-06 Tempress Ip B V Method of manufacturing a solar cell and equipment therefore.
KR101879781B1 (ko) * 2012-05-11 2018-08-16 엘지전자 주식회사 태양 전지, 불순물층의 형성 방법 및 태양 전지의 제조 방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9082799B2 (en) 2012-09-20 2015-07-14 Varian Semiconductor Equipment Associates, Inc. System and method for 2D workpiece alignment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
MY178951A (en) 2012-12-19 2020-10-23 Intevac Inc Grid for plasma ion implant
US9196489B2 (en) * 2013-01-25 2015-11-24 Varian Semiconductor Equipment Associates, Inc. Ion implantation based emitter profile engineering via process modifications
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI499059B (zh) * 2013-03-06 2015-09-01 Neo Solar Power Corp 區塊型摻雜太陽能電池
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101613843B1 (ko) * 2013-04-23 2016-04-20 엘지전자 주식회사 태양 전지 및 이의 제조 방법
CN104425651B (zh) * 2013-09-09 2016-08-10 上海理想万里晖薄膜设备有限公司 一种低温制备正面无栅极的异质结太阳电池的工艺
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015073591A1 (en) * 2013-11-12 2015-05-21 Solexel, Inc. Metal foil metallization for backplane-attached solar cells and modules
US9577134B2 (en) 2013-12-09 2017-02-21 Sunpower Corporation Solar cell emitter region fabrication using self-aligned implant and cap
US9401450B2 (en) 2013-12-09 2016-07-26 Sunpower Corporation Solar cell emitter region fabrication using ion implantation
US9722129B2 (en) 2014-02-12 2017-08-01 Varian Semiconductor Equipment Associates, Inc. Complementary traveling masks
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9263625B2 (en) 2014-06-30 2016-02-16 Sunpower Corporation Solar cell emitter region fabrication using ion implantation
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160284913A1 (en) 2015-03-27 2016-09-29 Staffan WESTERBERG Solar cell emitter region fabrication using substrate-level ion implantation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR101833936B1 (ko) 2017-11-24 2018-03-02 엘지전자 주식회사 태양 전지 및 그 제조 방법
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN110148558A (zh) * 2019-04-25 2019-08-20 晶科能源科技(海宁)有限公司 匹配hf/hno3体系选择性刻蚀的离子注入磷扩散方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11615939B2 (en) 2021-03-24 2023-03-28 Kla Corporation Shaped aperture set for multi-beam array configurations
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4082958A (en) * 1975-11-28 1978-04-04 Simulation Physics, Inc. Apparatus involving pulsed electron beam processing of semiconductor devices
US4070689A (en) 1975-12-31 1978-01-24 Motorola Inc. Semiconductor solar energy device
JPS531483A (en) 1976-06-28 1978-01-09 Futaba Denshi Kogyo Kk Pn junction solar battery and method of producing same
US4086102A (en) 1976-12-13 1978-04-25 King William J Inexpensive solar cell and method therefor
JPS5551802Y2 (zh) * 1978-08-17 1980-12-02
JPS58106822A (ja) * 1981-12-18 1983-06-25 Hitachi Ltd 不純物導入方法
JPS59107576A (ja) * 1982-12-13 1984-06-21 Agency Of Ind Science & Technol 混相系アモルフアスシリコン膜の製造方法
JPS59152621A (ja) * 1983-02-21 1984-08-31 Nec Corp イオン注入装置
US4667060A (en) * 1985-05-28 1987-05-19 Spire Corporation Back junction photovoltaic solar cell
JPS62219920A (ja) 1986-03-20 1987-09-28 Sony Corp 半導体装置の製造方法
JPS63287077A (ja) * 1987-05-20 1988-11-24 Hitachi Ltd 光電変換デバイス
JP2971586B2 (ja) * 1990-12-21 1999-11-08 株式会社リコー 薄膜形成装置
US5304509A (en) * 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JPH07296764A (ja) * 1994-04-27 1995-11-10 Hitachi Ltd イオン注入方法およびその装置
JPH0878659A (ja) * 1994-09-02 1996-03-22 Sanyo Electric Co Ltd 半導体デバイス及びその製造方法
US5563095A (en) 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
JPH08213339A (ja) * 1995-02-02 1996-08-20 Hitachi Ltd イオン注入方法およびその装置
US6153524A (en) 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP2001189483A (ja) * 1999-10-18 2001-07-10 Sharp Corp バイパス機能付太陽電池セルおよびバイパス機能付き多接合積層型太陽電池セルおよびそれらの製造方法
US6544862B1 (en) 2000-01-14 2003-04-08 Silicon Genesis Corporation Particle distribution method and resulting structure for a layer transfer process
FR2809867B1 (fr) * 2000-05-30 2003-10-24 Commissariat Energie Atomique Substrat fragilise et procede de fabrication d'un tel substrat
JP2002110637A (ja) * 2000-09-26 2002-04-12 Applied Materials Inc マスク部材、マスク部材セット、基体処理方法、半導体装置の製造方法、及び、半導体装置の製造条件決定方法
JP2004349508A (ja) * 2003-05-22 2004-12-09 Applied Materials Inc 基体処理方法、マスク部材セット、基体処理装置、素子又は半導体装置の製造方法、及び、素子又は半導体装置の製造条件決定方法
KR101073016B1 (ko) * 2004-12-13 2011-10-12 삼성에스디아이 주식회사 태양전지 및 그 제조방법
CN2884873Y (zh) * 2006-04-13 2007-04-04 北京师范大学 一种用于生物的连续离子注入复合处理生产设备
JP2008034543A (ja) * 2006-07-27 2008-02-14 Kyocera Corp 光電変換素子およびその製造方法
WO2009029900A1 (en) 2007-08-31 2009-03-05 Applied Materials, Inc. Improved methods of emitter formation in solar cells
US7820460B2 (en) * 2007-09-07 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Patterned assembly for manufacturing a solar cell and a method thereof

Also Published As

Publication number Publication date
WO2009111665A2 (en) 2009-09-11
WO2009111665A3 (en) 2009-11-26
EP2248154A2 (en) 2010-11-10
EP2858126A1 (en) 2015-04-08
US7888249B2 (en) 2011-02-15
JP2011513997A (ja) 2011-04-28
EP2858126B1 (en) 2015-11-04
KR101409925B1 (ko) 2014-06-20
JP5802392B2 (ja) 2015-10-28
EP2248154B1 (en) 2015-05-06
EP2248154A4 (en) 2014-06-11
TW200949913A (en) 2009-12-01
US20100197126A1 (en) 2010-08-05
KR20100136478A (ko) 2010-12-28
US20090227094A1 (en) 2009-09-10
CN102047390A (zh) 2011-05-04
CN102047390B (zh) 2013-04-10
US7727866B2 (en) 2010-06-01

Similar Documents

Publication Publication Date Title
TWI443718B (zh) 使用基底製造太陽能電池的方法
US10636935B2 (en) Ion implant system having grid assembly
US8871619B2 (en) Application specific implant system and method for use in solar cell fabrications
US20100224240A1 (en) Counterdoping for solar cells
US8008176B2 (en) Masked ion implant with fast-slow scan
US8993373B2 (en) Doping pattern for point contact solar cells
US9190548B2 (en) Method of creating two dimensional doping patterns in solar cells
US20140166087A1 (en) Solar cells having graded doped regions and methods of making solar cells having graded doped regions
TW201220369A (en) Method of implanting ions into a substrate, method of performing multiple ion implants using different patterns to a plurality of substrates, and a carrier
US8183546B2 (en) Ion implantation through laser fields

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees