CN101752336A - 半导体装置及其制造方法 - Google Patents

半导体装置及其制造方法 Download PDF

Info

Publication number
CN101752336A
CN101752336A CN200910141082A CN200910141082A CN101752336A CN 101752336 A CN101752336 A CN 101752336A CN 200910141082 A CN200910141082 A CN 200910141082A CN 200910141082 A CN200910141082 A CN 200910141082A CN 101752336 A CN101752336 A CN 101752336A
Authority
CN
China
Prior art keywords
semiconductor
separator
silicon
based end
hole electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910141082A
Other languages
English (en)
Other versions
CN101752336B (zh
Inventor
张宏宾
许国经
陈承先
邱文智
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101752336A publication Critical patent/CN101752336A/zh
Application granted granted Critical
Publication of CN101752336B publication Critical patent/CN101752336B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02311Additive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明揭示一种半导体装置及其制造方法,包括用于叠置芯片的凸块结构。在一半导体基底内形成硅通孔电极。对半导体基底的背侧进行薄化,以露出硅通孔电极。在半导体基底的背侧及硅通孔电极的露出部分上方形成一隔离层。对隔离层进行薄化,以再次露出硅通孔电极。在半导体基底的背侧形成焊垫及重布线,以电性连接硅通孔电极。形成另一隔离层并进行图案化,再形成一阻挡层,以提供接触接垫来连接外部装置,例如,另一芯片/晶片或电路板。本发明解决了现有技术存在的问题,易于在热预算内形成重布线层。

Description

半导体装置及其制造方法
技术领域
本发明涉及一种集成电路,特别涉及一种用于半导体芯片(die)的凸块(bump)结构,其具有用于叠置芯片的硅通孔电极(through-silicon via,TSV)。
背景技术
自集成电路的发明创造以来,由于各个电子部件(即,晶体管、二极管、电阻、电容等等)的集成度(integration density)持续的改进,使半导体业持续不断的快速成长发展。主要来说,集成度的改进来自于最小特征尺寸(minimum feature size)不断缩小而容许更多的部件整合至既有的芯片面积内。
这些集成度的改进实质上是朝二维(two-dimensional,2D)方面的,因为集成部件所占的体积实际上位于半导体晶片的表面。尽管光刻(lithography)技术的精进为2D集成电路制作带来相当大的助益,二维空间所能拥有的密度还是有其物理限制。这些限制之一在于制作这些部件所需的最小尺寸。再者,当更多的装置放入一芯片中,需具有更复杂的电路设计。
为了进一步增加集成电路密度,已开始研究三维(3D)集成电路(three-dimensional integrated circuit,3DIC)。在典型的3DIC工艺中,二个芯片彼此接合,且在每一芯片与基底上的接触接垫之间形成电性连接。例如,在彼此上方接合两个芯片。叠置的芯片接着与一承载基底(carrier substrate)接合,而接线将每一芯片上的接触接垫电性耦接至承载基底上的接触接垫。然而,上述做法需要一个大于芯片的承载基底来进行打线工艺(wirebonding)。
近来,已开始注意所谓的硅通孔电极(TSV)。一般而言,硅通孔电极是通过蚀刻在基底中形成一垂直通孔并于其中填入导电材料而成,例如铜。对基底背侧进行薄化,以露出TSV,而另一芯片则与露出的TSV接合,进而形成堆叠芯片封装(stacked die package)。若基底使用不同技术或脚位(pin-out)来与另一芯片/晶片接合,则需要一重布(redistribution)线层。
由于基底在薄化及接合之前是接合至一临时载板,因此热预算通常会是个考虑点。为了能够进行低温接合工艺,会使用焊球将另一基底接合至硅通孔电极。然而,由于需要一重布线层,必须进行额外的膜层制作来形成重布线层,而对于在热预算内形成重布线层来说是相当困难的。
因此,有必要寻求一种新的接合TSV的结构及方法。
发明内容
为了解决现有技术存在的上述问题,本发明一实施例提供一种半导体装置。半导体装置具有一半导体基底,其具有多个硅通孔电极延伸穿过并突出于半导体基底的一背侧。一第一隔离层,位于相邻的硅通孔电极之间半导体基底的背侧,且未延伸超过突出的硅通孔电极。多个导电部件具有渐细侧壁且分别电性耦接至硅通孔电极。一第二隔离层,位于第一隔离层上。在其他实施例中,导电部件包括一重布线且具有渐细侧壁。重布线可位于第一隔离层与第二隔离层之间。
本发明另一实施例提供一种半导体装置的制造方法。提供一半导体基底,具有一硅通孔电极自半导体基底的一第一侧延伸于其内。在半导体基底的一第二侧露出硅通孔电极。在半导体基底的第二侧形成一第一隔离层,且使硅通孔电极露出。在硅通孔电极上形成具有渐细侧壁的一导电部件。在第一隔离层上形成材料不同于第一隔离层的一第二隔离层。在导电部件上形成一接触阻挡层。导电部件可包括一重布线。
本发明又一实施例提供一种半导体装置的制造方法。提供一第一半导体基底,其具有多个硅通孔电极自第一半导体基底的一电路侧延伸至第一半导体基底的一背侧以及位于背侧上的每一硅通孔电极上具有渐细侧壁的一导电接垫。第一半导体基底的背侧具有一第一隔离层以及位于第一隔离层上的一第二隔离层。提供一第二半导体基底,其具有多个上接触点。将第一半导体基底接合至第二半导体基底,使第二半导体基底的每一上接触点电性耦接至第一半导体基底上对应的导电接垫。
本发明解决了现有技术存在的上述问题,易于在热预算内形成重布线层。
附图说明
图1至图13为示出根据本发明实施例的具有用于叠置芯片的凸块结构的半导体装置局部制造过程剖面示意图。
图14为示出根据本发明实施例的脚位配置平面示意图。
图15至图17为示出根据本发明另一实施例的叠置芯片的局部制造过程剖面示意图。
上述附图中的附图标记说明如下:
110~半导体基底;112~电路;114~蚀刻终止层;116~内层介电层;118~接触窗;120~金属层间介电层;122、1512~上金属接点;124~硅通孔电极;126~衬层;128~导电凸块;130~承载基底;310~第一隔离层;510~晶种层;610~第一掩模图案层;612~开口;710~导电部件;1010~第二隔离层;1110~第二掩模图案层;1310~接触阻挡层;1410~接触接垫;1412~重布线;1510~基底;1514~连接部件。
具体实施方式
以下说明本发明实施例的制作与使用。然而,必须了解的是本发明提供许多适当的实施例的发明概念,可实施于不同的特定背景。述及的特定实施例仅用于说明以特定的方法来制作及使用本发明,而并非用以局限本发明的范围。
本发明实施例有关于使用具有硅通孔电极的基底的金属焊垫。以下所说明的实施例关于金属焊垫与重布线层的整合,使其能够同时制造重布线层与金属焊垫。再者,金属焊垫较佳为具有渐细(tapered)侧壁,借以在晶片和/或芯片堆叠工艺中提供较大的接合界面。
图1至图14使用于三维集成电路(3DIC)或叠置芯片中具有凸块(bump)结构和/或重布线层的芯片的局部制造过程剖面示意图。而在本发明各个实施例中,相同的部件使用相同的标号。
请参照图1,一半导体基底100具有电路112形成于上。半导体基底100可包括掺杂或未掺杂的硅块材或是绝缘层上覆半导体(semiconductor-on-insulator,SOI)基底的有源(active)层。一般而言,SOI基底包括形成于一绝缘层上的一半导体材料层,例如硅。绝缘层可为埋入式氧化(buried oxide,BOX)层或是氧化硅层。绝缘层形成于一基底上,通常为硅基底或玻璃基底。另外也可使用其他基底,例如多层或渐层(gradient)基底。
形成于半导体基底110上的电路112可为适用于特定应用的任一类型电路。在一实施例中,电路112包括形成于基底上的电子装置,而电子装置上具有一层或多层的介电层。金属层可形成于介电层之间,以作为电子装置之间传送电子信号的路径。电子装置也可形成于一层或多层的介电层内。
举例而言,电路112可包括各个不同的N型金属氧化物半导体(N-typemetal-oxide semiconductor,NMOS)装置和/或P型金属氧化物半导体(PMOS)装置,例如晶体管、电容、电阻、二极管、光电二极管、熔丝等等,其相互连接以实施单一或多种功能。这些功能可包括存储结构、工艺结构、传感器、放大器、电源分配、输入/输出电路等等。所属技术领域中普通技术人员可以理解上述范例说明仅在于进一步解释本发明的应用而并非用以局限本发明。对于特定应用来说,也可使用其他电路。
图1也示出一蚀刻终止层114及一层间介电(inter-layer dielectric,ILD)层116。蚀刻终止层114较佳由一介电材料所构成且与相邻的膜层,例如下方的半导体基底110及上方的ILD层116,具有不同的蚀刻选择比。在一实施例中,蚀刻终止层114可由SiN、SiCN、SiCO、CN、或其组合等等所构成,且可通过化学气相沉积(chemical vapor deposition,CVD)或等离子体辅助化学气相沉积(plasma-enhanced CVD,PECVD)技术而形成。
ILD层116可由低介电常数(low-k)介电材料所形成,例如氧化硅、磷硅玻璃(phosphosilicate glass,PSG)、硼磷硅玻璃(borophosphosilicate glass,BPSG)、氟硅玻璃(fluorinated silicate glass,FSG)、SiOxCy、旋涂玻璃(spin-onglass,SOG)、旋涂高分子、碳化硅材料、其化合物、其复合材料、或其组合等等,并通过适当的公知方法制造而成,例如旋涂法、CVD或PECVD。须注意的是蚀刻终止层114及ILD层116可各自包括多个介电层,其中相邻的介电层之间可具有或不具有蚀刻终止层。
接触窗118贯穿ILD层116以提供电路112电性接触之用。接触窗118的制作可通过使用光刻技术在ILD层116上沉积及图案化一光致抗蚀剂材料而露出部分的ILD层116来形成接触窗118。可使用蚀刻工艺,例如异向性(anisotropic)干蚀刻工艺,在ILD层116内形成开口。最好在开口内沿表面形成扩散阻挡层和/或黏着层(未示出),并填入导电材料。扩散阻挡层可包括一层或多层的TaN、Ta、TiN、Ti、或CoW等等,且导电材料可包括铜、钨、铝、银、或其组合,借以形成图1所示的接触窗118。
在ILD层116上形成一层或多层的金属层间介电(inter-metal dielectric,IMD)层120及配置的金属化层(未示出)。一般而言,IMD层120及所配置的金属化层是用于彼此电路的连接,并提供外部电性连接之用。IMD层120较佳由low-k介电材料所构成,例如由PECVD或是高密度等离子体化学气相沉积(high-density plasma CVD,HDPCVD)技术所形成的氟硅玻璃(FSG),IMD层120也可包括中间蚀刻终止层,其与蚀刻终止层114相似。在最上层的IMD层中具有上金属接点122,以提供外部电性连接之用。
图1也示出硅通孔电极(through-silicon via,TSV)124。硅通孔电极124可由任何合适的方法所形成。举例而言,在形成ILD层116之前,通过单一或多重蚀刻工艺、钻孔、及激光技术等等,形成延伸于半导体基底110内的开口。最好在开口内沿表面形成一衬层,如作为隔离层的衬层126,且在开口内填入一导电材料。衬层126较佳由一层或多层的SiN、氧化物、或高分子等等所构成,而导电材料较佳为包括铜、钨、铝、银、或其组合等等,借以形成硅通孔电极124。另外也可使用其他材料,包括导电扩散阻挡层,如TaN、Ta、TiN、Ti、或CoW等等。
须注意的是附图中硅通孔电极124是从半导体基底110的上表面延伸于其内,此处仅作为说明的目的,然而也可使用其他的配置。举例而言,在另一实施例中,硅通孔电极124可从ILD层116或是其中一IMD层120的上表面延伸。举例而言,在一实施例中,硅通孔电极124的制造可在形成接触窗118之后,通过单一或多重蚀刻工艺、钻孔、或激光技术等等,形成延伸于半导体基底110内的开口。最好在开口内沿表面形成一衬层,如作为隔离层的衬层126,且在开口内填入如之前所述的导电材料。
在上金属接点122上形成导电凸块128,例如由Cu、W、CuSn、AuSn、InAu、或PbSn等等所构成的金属凸块,且利用一黏着层132使一承载基底130贴附于IMD层120的上表面。一般而言,承载基底130提供后续工艺步骤期间物理或结构上的支撑之用。通过此方式可降低或防止半导体基底110的损害。
承载基底130可包括玻璃、氧化硅、或氧化铝等等。黏着层132可为任何适合的黏着材料,例如UV胶,其接触到紫外(UV)光后会失去黏性。承载基底130的厚度较佳在几密尔(mil)到几十密尔的范围。
图2示出根据本发明实施例的对半导体基底110的背侧进行薄化(thinning)工艺,以露出硅通孔电极124/衬层126。可使用机械研磨工艺、化学机械研磨(chemical mechanical polishing,CMP)工艺、蚀刻工艺和/或其组合来进行薄化工艺。举例而言,可进行初步平坦化工艺,如研磨或CMP,以初步露出硅通孔电极124。之后,进行湿式或干式蚀刻,其对于衬层126的材料与半导体基底110的材料之间具有高蚀刻选择比而使半导体基底110向下凹陷,因而硅通孔电极124及衬层126突出于半导体基底110的下侧,如图2所示。在一实施例中,硅通孔电极124由铜所构成,且衬层126由TaN所构成。而可使用HBr/O2、HBr/Cl2/O2、SF6/Cl2、或SF6等等离子体来进行干蚀刻而使半导体基底110向下凹陷。硅通孔电极124及衬层126所露出的长度在次微米(sub-μm)至几微米的范围。
图3示出根据本发明实施例的在半导体基底110(半导体基底110表面上可形成一原生氧化层(native oxide))的背侧形成一第一隔离层310。在一实施例中,第一隔离层310为介电材料,例如SiN、氧化物、SiC、SiON、或高分子等等,且可通过旋涂法、印刷、或CVD等方式而形成。第一隔离层310较佳为通过低温工艺而形成,如使用温度小于250℃的PECVD工艺,以防止接合用的黏着层劣化,而确保整合工艺始终的机械强度。第一隔离层310的厚度最好足以覆盖露出的硅通孔电极124。
取决于形成第一隔离层310所使用的工艺,可能需要进行平坦化工艺。比较特别的是在一些沉积方法中会形成一平整表面,如旋涂法。然而,在其他的方法中则会形成一顺应层,如CVD,因而需进行平坦化工艺,如研磨或CMP,以形成一平整表面,如图3所示。
图4示出根据本发明实施例的二次露出硅通孔电极124。可使用机械研磨工艺、CMP工艺、蚀刻工艺和/或其组合来进行薄化工艺。举例而言,可进行初步平坦化工艺,如研磨或CMP,以初步露出硅通孔电极124。之后,进行湿式或干式蚀刻,其对于硅通孔电极124及衬层126的材料与第一隔离层310的材料之间具有高蚀刻选择比而使第一隔离层310向下凹陷,因而使硅通孔电极124突出于第一隔离层310的下侧,如图4所示。在一实施例中,硅通孔电极124由铜所构成,且第一隔离层310由二氧化硅所构成。可使用氢氟酸来进行湿蚀刻或使用干蚀刻,而使第一隔离层310向下凹陷。另外也可使用其他的工艺及材料。硅通孔电极124所露出的长度在次微米(sub-μm)至几微米的范围。
除了向下凹陷第一隔离层310之外,图4也同时示出自硅通孔电极124所露出的部分去除衬层126。
请参照图5,在第一隔离层310的表面上及硅通孔电极124所露出的部分上顺应性沉积一晶种层510。晶种层510是由导电材料所构成的薄膜层,用以在后续工艺步骤期间辅助形成一厚膜层。在一实施例中,可通过使用CVD或物理气相沉积(physical vapor deposition,PVD)技术来沉积一薄导电层,例如Cu、Ti、Ta、TiN、或TaN等薄膜层而形成晶种层510。举例而言,通过PVD工艺来沉积Ti层,以形成一阻挡层,并通过PVD工艺来沉积Cu层,以形成一晶种层。
图6示出根据本发明实施例的在晶种层510上形成一第一掩模图案层610。第一掩模图案层610是作为后续工艺步骤中用于形成导电接垫的模具。第一掩模图案层610可为图案化的光致抗蚀剂层或硬式掩模(hard mask)等等。在一实施例中,光致抗蚀剂材料的厚度约在次微米至几微米的范围,且经由图案化而在硅通孔电极124上方形成开口612。
须注意的是图6的实施例中较佳为利用一内凹(re-entrant)轮廓,使开口612在沿着晶种层510的开口612的底部宽于开口612的顶部。可通过任何适宜的技术来形成内凹轮廓,例如使用具有不同图案化特性及可一次或多次曝光的多重光致抗蚀剂层、扩散技术、或是图像反转工艺等等。然而,在其他实施例中,第一掩模图案层610可利用一渐细轮廓,使开口612在沿着晶种层510的开口612的底部窄于开口612的顶部。
之后,请参照图7,导电部件710形成于开口612(示出于图6)内。导电部件710较佳为金属,例如铜、钨、或其他导电金属,且可通过电镀或无电电镀等工艺而形成。在一实施例中,采用电镀工艺,其中晶片没入(submerged)或浸入(immersed)于电镀溶液中。晶片表面电性连接至外部直流(DC)电源供应器的负电极侧,使晶片在电镀工艺中作为阴极。一固体阳极,例如铜阳极,也浸入于溶液中且连接至电源供应器的正电极侧。原子自阳极分解至溶液中,而阴极,例如晶片,则自溶液中获取原子,以在晶片中露出的导电区域进行电镀,例如开口612内所露出的晶种层510部分。
须注意的是导电部件710可为接触接垫和/或重布线。请参照图14,其为图1至图13中实施例的平面示意图,图7中左侧的导电部件710为一接触接垫,而图7中右侧的导电部件710为一接触接垫及一重布线。重布线容许电性连接至位于TSV以外位置的另一装置,例如芯片、晶片或是封装基底等等。此对于TSV、基底上的电路、及脚位(pin-out)的放置具有较大的弹性及较高的自主性。
请参照图8,其示出根据本发明实施例之去除第一掩模图案层610(示出于图6及图7)。在一实施例中,第一掩模图案层610为一光致抗蚀剂掩模,且可通过等离子体灰化(ashing)或湿式剥除(wet strip)工艺来去除第一掩模图案层610。较佳的等离子体灰化工艺使用O2,举例而言,其流量约在1000sccm至2000sccm的范围。工艺压力约在300mTorr至600mTorr的范围。工艺功率约在500Watts至2000Watts的范围。工艺温度约在80℃至200℃的范围。另外,进行等离子体灰化工艺之后也可浸入硫酸(H2SO4)溶液中,清洗晶片并去除残留的光致抗蚀剂材料。
图9示出去除晶种层510所露出的部分。举例而言,晶种层510所露出的部分可通过湿式蚀刻工艺来去除。
图10示出根据本发明实施例的形成一第二隔离层1010。第二隔离层1010的形成方法及材料可使用相似于第一隔离层310的形成方法及材料。然而,使用于形成第二隔离层1010的材料较佳为与使用于第一隔离层310的材料之间具有高蚀刻选择比。在上述方法中,第一隔离层310(及导电部件710)可作为后续工艺步骤中图案化第二隔离层1010的蚀刻终止层。第二隔离层1010的厚度较佳在2000埃
Figure G2009101410820D0000081
至8000埃的范围。以下所要说明的是图案化第二隔离层1010,以隔离部分的重布线,同时露出接触接垫的所在位置。
图11示出根据本发明实施例的形成一第二掩模图案层1110。第二掩模图案层1110的材料可使用相似于第一掩模图案层610的材料,例如光致抗蚀剂或是硬式掩模材料,而形成方法可使用相似于第一掩模图案层610的形成方法。然而,须注意的是第一掩模图案层610所采用的技术在于形成一内凹图案,而第二掩模图案层1110则是具有垂直图案。因此,任何适合的光刻技术都可用来形成第二掩模图案层1110。
图12示出根据本发明实施例的图案化第二隔离层1010以及去除第二掩模图案层1110。举例而言,在一实施例中,第二隔离层1010由氮化硅所构成,而第一隔离层310则由氧化硅所构成。第二隔离层1010可通过干式蚀刻工艺来进行图案化,其在第二隔离层1010的氮化硅与第一隔离层310的氧化硅之间具有高蚀刻选择比。
在图案化第二隔离层1010之后,可去除第二掩模图案层1110,如图12所示。举例而言,第二掩模图案层1110可通过等离子体灰化工艺或是湿式剥除工艺将其去除,如上述关于图8的说明。另外,去除第二掩模图案层1110之后也可进行一清洁步骤,例如浸入硫酸溶液中,以去除表面上任何的污染物。
图13示出根据本发明实施例的形成一接触阻挡层1310。接触阻挡层1310作为与外部装置形成电性连接的导电接触点,例如另一芯片、晶片、电路板、或封装板等等。接触阻挡层1310与硅通孔电极124作电性接触,再依次与形成于基底上的电路(如,电路112)或是另一外部装置(如,另一芯片、晶片、电路板、或封装板等等)作电性接触。
在一实施例中,接触阻挡层1310由金属或金属合金所构成,例如Ni、AuSu、或Au等等,并采用无电电镀(electroless plating)技术。然而,也可采用其他的方法及技术。接触阻挡层1310的材料选择上必须能够加强导电部件710与外部装置上的接触部件之间的黏着性。须注意的是导电部件710与接触阻挡层1310共同构成接触接垫,其上可连接其他装置,例如芯片、晶片、或基底等等。
图14示出根据本发明实施例的排置接触接垫1410与重布线1412的平面示意图,而图1至图13为沿图14中A-A线的剖面示意图。第二隔离层1010作为保护(passivation)层并覆盖露出接触接垫1410(如,接触阻挡层1310及其下方的导电部件710)以外的基底背侧。第二隔离层1010形成于重布线1412(以虚线示出)上方。
可以理解的是上述实施例容许可同时形成接触电及重布线。重布线的使用容许在不同脚位及技术中使用相同的设计。具有渐细侧壁的导电凸块也在晶片和/或芯片堆叠工艺中提供较大的接合界面。
图15至图17示出根据本发明实施例的将上述关于图1至图14的结构接合至另一结构。特别的是一基底1510,其具有上金属接点1512及连接部件1514。举例而言,连接部件1514可为锡球(solder ball)。通过对准连接部件1514与接触接垫1410以及施加压力和/或热,以将基底1510贴附于半导体基底110,使连接部件1514黏附于接触阻挡层1310而形成电性连接,如图16所示。由于接触阻挡层1310与导电部件710具有渐细外形,因此可使接合界面具有较大的润湿(wetting)表面,进而形成较佳的电性连接以及提供额外结构上的支撑。
请参照图17,可去除临时的承载基板130,使半导体基底110的电路侧上的导电凸块128可接合至另一芯片、晶片、基底、或板子等等。之后,可进行适用于特定应用的其他后段(back-end-of-line)工艺。举例而言,在堆叠的芯片之间注入填充材料、形成封胶(encapsulant)、及进行切割(singulation)工艺以形成各自的堆叠芯片封装等等。然而,须注意的是本发明实施例可使用于诸多不同的情况。举例而言,本发明实施例可使用于芯片对芯片(die-to-die)接合结构配置、芯片对晶片(die-to-wafer)接合结构配置、或晶片对晶片(wafer-to-wafer)接合结构配置。
虽然本发明已以较佳实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中的普通技术人员,在不脱离本发明的精神和范围内,当可作更动与润饰。再者,本发明的保护范围并未局限于说明书内所述特定实施例中的工艺、机器、制造、物质组成、装置、方法及步骤,任何所属技术领域中的普通技术人员可从本发明揭示内容中理解现行或未来所发展出的工艺、机器、制造、物质组成、装置、方法及步骤,只要可以在此处所述实施例中实施大体相同功能或获得大体相同结果皆可使用于本发明中。因此,本发明的保护范围包括上述工艺、机器、制造、物质组成、装置、方法及步骤。

Claims (15)

1.一种半导体装置,包括:
一第一半导体基底;
多个硅通孔电极,延伸穿过该第一半导体基底,且所述多个硅通孔电极突出于该第一半导体基底的一背侧;
一第一隔离层,位于相邻的所述多个硅通孔电极之间该第一半导体基底的该背侧,且该第一隔离层未延伸超过所述多个突出的硅通孔电极;
一导电部件,具有一渐细侧壁且电性耦接至所述多个硅通孔电极中的至少一个;以及
一第二隔离层,位于该第一隔离层上以及一部分的该导电部件上。
2.如权利要求1所述的半导体装置,其中该导电部件包括一重布线,而该第二隔离层位于至少一部分的该重布线上。
3.如权利要求2所述的半导体装置,其中该第一隔离层的材料不同于该第二隔离层的材料。
4.如权利要求1所述的半导体装置,还包括一接触阻挡层,位于至少一部分的该导电部件上。
5.如权利要求1所述的半导体装置,还包括一衬层,沿着所述多个硅通孔电极的侧壁,且该衬层未延伸超过该第一隔离层的一上表面。
6.如权利要求1所述的半导体装置,还包括:
一接触阻挡层,位于该导电部件上;
一第二半导体基底,具有一上金属接点;以及
一导电连接部件,夹设于该接触阻挡层与该上金属接点之间,且向下延伸至该导电部件的该渐细侧壁。
7.一种半导体装置的制造方法,包括:
提供一第一半导体基底,该第一半导体基底具有一硅通孔电极自该第一半导体基底的一第一侧延伸于其内,
在该第一半导体基底的一第二侧露出该硅通孔电极;
沿着该第一半导体基底的该第二侧形成一第一隔离层,且使该硅通孔电极露出;
在该硅通孔电极上形成具有一渐细侧壁的一导电部件;
在该第一隔离层上形成一第二隔离层,其中该第一隔离层的材料不同于该第二隔离层的材料;以及
在该导电部件的至少一部分上形成一接触阻挡层。
8.如权利要求7所述的半导体装置的制造方法,其中形成该导电部件包括使用具有凹口的掩模图案层作为形成该导电部件的模具。
9.如权利要求7所述的半导体装置的制造方法,其中露出该硅通孔电极包括蚀刻该第一半导体基底至低于该硅通孔电极的一表面,使该硅通孔电极突出于该第一半导体基底。
10.如权利要求7所述的半导体装置的制造方法,其中该硅通孔电极突出于该第一隔离层。
11.如权利要求7所述的半导体装置的制造方法,其中形成该导电部件包括:
在该第一隔离层及该硅通孔电极上方形成一晶种层;
在该晶种层上形成一掩模图案层,该掩模图案层具有多个凹口而露出位于该硅通孔电极上方的该晶种层;
在该晶种层的露出部分上形成一金属接垫;
去除该掩模图案层;以及
去除未被该金属接垫覆盖的该晶种层。
12.一种半导体装置的制造方法,包括:
提供一第一半导体基底,其具有多个硅通孔电极自该第一半导体基底的一电路侧延伸至该第一半导体基底的一背侧以及位于该背侧上的每一硅通孔电极上具有渐细侧壁的一导电接垫,且该第一半导体基底的该背侧具有一第一隔离层以及位于该第一隔离层上的一第二隔离层;
提供一第二半导体基底,其具有多个上接触点;以及
将该第一半导体基底接合至该第二半导体基底,使该第二半导体基底的每一上接触点电性耦接至该第一半导体基底上对应的所述导电接垫。
13.如权利要求12所述的半导体装置的制造方法,其中所述导电接垫中至少有一些包括一重布线。
14.如权利要求13所述的半导体装置的制造方法,其中该重布线位于该第一隔离层与该第二隔离层之间。
15.如权利要求12所述的半导体装置的制造方法,其中至少通过一金属凸块来进行该第一半导体基底与该第二半导体基底的接合。
CN2009101410820A 2008-12-10 2009-05-20 半导体装置及其制造方法 Active CN101752336B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/331,900 2008-12-10
US12/331,900 US8513119B2 (en) 2008-12-10 2008-12-10 Method of forming bump structure having tapered sidewalls for stacked dies

Publications (2)

Publication Number Publication Date
CN101752336A true CN101752336A (zh) 2010-06-23
CN101752336B CN101752336B (zh) 2012-05-23

Family

ID=42230179

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101410820A Active CN101752336B (zh) 2008-12-10 2009-05-20 半导体装置及其制造方法

Country Status (3)

Country Link
US (2) US8513119B2 (zh)
CN (1) CN101752336B (zh)
TW (1) TWI416692B (zh)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315198A (zh) * 2010-06-30 2012-01-11 台湾积体电路制造股份有限公司 具有对准标记的结构及堆叠装置的制造方法
CN102468229A (zh) * 2010-11-15 2012-05-23 南亚科技股份有限公司 集成电路结构及其制备方法
CN102655136A (zh) * 2011-02-11 2012-09-05 海力士半导体有限公司 半导体芯片及其制造方法
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
CN103367241A (zh) * 2012-04-09 2013-10-23 南亚科技股份有限公司 显露穿硅通孔的方法
CN103681360A (zh) * 2012-09-20 2014-03-26 台湾积体电路制造股份有限公司 封装器件及方法
CN103915399A (zh) * 2013-01-07 2014-07-09 株式会社电装 半导体器件
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
CN104183571A (zh) * 2013-05-27 2014-12-03 联华电子股份有限公司 直通硅晶穿孔及其制作工艺
CN104285280A (zh) * 2012-03-12 2015-01-14 美光科技公司 横越多个导电柱的平坦化的半导体构造和方法
CN101771012B (zh) * 2009-01-05 2016-01-20 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN105550432A (zh) * 2015-12-11 2016-05-04 格科微电子(上海)有限公司 三维集成电路芯片及其电源网络布局方法
CN106404013A (zh) * 2015-07-30 2017-02-15 约翰内斯·海德汉博士有限公司 位置测量机构
US9633900B2 (en) 2011-06-09 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for through silicon via structure
CN107078119A (zh) * 2014-10-31 2017-08-18 高通股份有限公司 高密度扇出封装结构
CN108155166A (zh) * 2016-12-05 2018-06-12 台湾积体电路制造股份有限公司 集成电路封装的重布线层结构
CN109285825A (zh) * 2017-07-21 2019-01-29 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
CN110752162A (zh) * 2018-07-23 2020-02-04 西安电子科技大学 基于x波段氮化镓预失真集成电路及制作方法
WO2020238173A1 (zh) * 2019-05-31 2020-12-03 京东方科技集团股份有限公司 显示背板及其制备方法和显示装置
CN112997314A (zh) * 2019-08-16 2021-06-18 京东方科技集团股份有限公司 显示背板及其制作方法、显示装置
US11929358B2 (en) 2019-05-31 2024-03-12 Boe Technology Group Co., Ltd. Display backplate and method for manufacturing same, display panel and method for manufacturing same, and display device

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
TWI414044B (zh) * 2009-12-29 2013-11-01 Advanced Semiconductor Eng 半導體製程、半導體元件及具有半導體元件之封裝結構
US8227924B2 (en) * 2010-07-13 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate stand-offs for semiconductor devices
US8754516B2 (en) 2010-08-26 2014-06-17 Intel Corporation Bumpless build-up layer package with pre-stacked microelectronic devices
US8466553B2 (en) 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
US8936966B2 (en) 2012-02-08 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices
KR101697573B1 (ko) * 2010-11-29 2017-01-19 삼성전자 주식회사 반도체 장치, 그 제조 방법, 및 상기 반도체 장치를 포함하는 반도체 패키지
US8987833B2 (en) 2011-04-11 2015-03-24 International Rectifier Corporation Stacked composite device including a group III-V transistor and a group IV lateral transistor
US9343440B2 (en) 2011-04-11 2016-05-17 Infineon Technologies Americas Corp. Stacked composite device including a group III-V transistor and a group IV vertical transistor
US20120256190A1 (en) * 2011-04-11 2012-10-11 International Rectifier Corporation Stacked Composite Device Including a Group III-V Transistor and a Group IV Diode
US8304916B1 (en) * 2011-07-06 2012-11-06 Northrop Grumman Systems Corporation Half-through vias for suppression of substrate modes
US8691691B2 (en) * 2011-07-29 2014-04-08 International Business Machines Corporation TSV pillar as an interconnecting structure
KR101918609B1 (ko) 2012-01-11 2018-11-14 삼성전자 주식회사 집적회로 소자
US9362267B2 (en) 2012-03-15 2016-06-07 Infineon Technologies Americas Corp. Group III-V and group IV composite switch
US8912091B2 (en) 2013-01-10 2014-12-16 International Business Machines Corporation Backside metal ground plane with improved metal adhesion and design structures
US9059111B2 (en) * 2013-04-11 2015-06-16 International Business Machines Corporation Reliable back-side-metal structure
US20140327132A1 (en) * 2013-05-03 2014-11-06 National Center For Advanced Packaging (Ncap China) TSV Backside Reveal Structure and Exposing Process
JP2015056557A (ja) * 2013-09-12 2015-03-23 株式会社東芝 半導体装置
KR20150048388A (ko) * 2013-10-28 2015-05-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN103730351A (zh) * 2014-01-07 2014-04-16 上海华虹宏力半导体制造有限公司 刻蚀后的灰化方法及磁传感器的形成方法
KR102315276B1 (ko) * 2014-10-06 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
TWI582918B (zh) * 2014-11-12 2017-05-11 精材科技股份有限公司 晶片封裝體及其製造方法
TWI581325B (zh) * 2014-11-12 2017-05-01 精材科技股份有限公司 晶片封裝體及其製造方法
KR102279729B1 (ko) 2014-12-01 2021-07-21 삼성전자주식회사 Tsv, 전면 범핑 패드 및 후면 범핑 패드를 갖는 반도체 소자
US9941190B2 (en) 2015-04-03 2018-04-10 Micron Technology, Inc. Semiconductor device having through-silicon-via and methods of forming the same
JP2016213247A (ja) * 2015-04-30 2016-12-15 国立研究開発法人産業技術総合研究所 貫通電極及びその製造方法、並びに半導体装置及びその製造方法
US9754909B2 (en) * 2015-05-26 2017-09-05 Monolithic Power Systems, Inc. Copper structures with intermetallic coating for integrated circuit chips
KR20170023643A (ko) 2015-08-24 2017-03-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
TWI605544B (zh) * 2015-11-25 2017-11-11 矽品精密工業股份有限公司 基板結構及其製法
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
US10607885B2 (en) * 2016-03-30 2020-03-31 Intel Corporation Shell structure for insulation of a through-substrate interconnect
US9923059B1 (en) * 2017-02-20 2018-03-20 Silanna Asia Pte Ltd Connection arrangements for integrated lateral diffusion field effect transistors
US10083897B2 (en) 2017-02-20 2018-09-25 Silanna Asia Pte Ltd Connection arrangements for integrated lateral diffusion field effect transistors having a backside contact
DE102017123449B4 (de) * 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
KR20180136148A (ko) * 2017-06-14 2018-12-24 에스케이하이닉스 주식회사 범프를 구비하는 반도체 장치
US10658287B2 (en) * 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
US10903142B2 (en) * 2018-07-31 2021-01-26 Intel Corporation Micro through-silicon via for transistor density scaling
KR20210017663A (ko) 2019-08-09 2021-02-17 삼성전자주식회사 두꺼운 금속층 및 범프를 갖는 반도체 소자들
US11264368B2 (en) 2019-08-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mask transfer method (and related apparatus) for a bumping process
KR102445598B1 (ko) * 2019-08-28 2022-09-20 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로(ic) 및 그 형성 방법
CN111554647B (zh) * 2020-05-19 2022-04-19 上海先方半导体有限公司 一种晶圆级芯片结构、多芯片堆叠互连结构及制备方法
EP3944290A1 (en) * 2020-07-21 2022-01-26 Infineon Technologies Austria AG Chip-substrate composite semiconductor device
US20230011266A1 (en) * 2021-07-12 2023-01-12 Changxin Memory Technologies, Inc. Method for forming semiconductor structure
CN116314016B (zh) * 2023-04-26 2023-10-03 北京大学 一种亚微米尺寸的硅通孔结构及其制备方法、电子设备

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
JPH10163315A (ja) * 1996-11-28 1998-06-19 Nec Ic Microcomput Syst Ltd 半導体回路装置
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
KR100281897B1 (ko) * 1998-07-21 2001-03-02 윤종용 도전층을 갖는 반도체 장치의 제조방법
US6706189B2 (en) 1998-10-09 2004-03-16 Zenon Environmental Inc. Cyclic aeration system for submerged membrane modules
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3998373B2 (ja) * 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
JP3626058B2 (ja) 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US7053465B2 (en) 2000-11-28 2006-05-30 Texas Instruments Incorporated Semiconductor varactor with reduced parasitic resistance
US6717254B2 (en) * 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7224063B2 (en) * 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6645796B2 (en) * 2001-11-21 2003-11-11 International Business Machines Corporation Method and semiconductor structure for implementing reach through buried interconnect for silicon-on-insulator (SOI) devices
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
EP1472730A4 (en) * 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6642081B1 (en) * 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
JP2003318178A (ja) * 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4072677B2 (ja) 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
TWI241000B (en) 2003-01-21 2005-10-01 Siliconware Precision Industries Co Ltd Semiconductor package and fabricating method thereof
JP2004297019A (ja) * 2003-03-28 2004-10-21 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) * 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US6878616B1 (en) 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
JP4339152B2 (ja) 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
JP4439976B2 (ja) * 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
JP4327644B2 (ja) * 2004-03-31 2009-09-09 Necエレクトロニクス株式会社 半導体装置の製造方法
WO2006019156A1 (ja) 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US7109068B2 (en) 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
KR20060054690A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR101052366B1 (ko) 2004-11-16 2011-07-28 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054689A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
JP2006339584A (ja) * 2005-06-06 2006-12-14 Sharp Corp 半導体装置およびその製造方法
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7795134B2 (en) * 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7863187B2 (en) * 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7772116B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
TWI287273B (en) 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7902643B2 (en) * 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7514775B2 (en) * 2006-10-09 2009-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7544605B2 (en) 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
JP4415984B2 (ja) * 2006-12-06 2010-02-17 ソニー株式会社 半導体装置の製造方法
US8247322B2 (en) * 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US20080246152A1 (en) * 2007-04-04 2008-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with bonding pad
US7564115B2 (en) * 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
KR20080101635A (ko) 2007-05-18 2008-11-21 삼성전자주식회사 반도체 패키지, 그 제조 방법, 및 반도체 패키지를 이용한패키지 모듈 및 전자 제품
JP4937842B2 (ja) * 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20090057909A1 (en) 2007-06-20 2009-03-05 Flipchip International, Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TW200910557A (en) 2007-06-20 2009-03-01 Flipchip Int Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TWI351751B (en) 2007-06-22 2011-11-01 Ind Tech Res Inst Self-aligned wafer or chip structure, self-aligned
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
KR101387701B1 (ko) 2007-08-01 2014-04-23 삼성전자주식회사 반도체 패키지 및 이의 제조방법
JP2009055004A (ja) 2007-08-24 2009-03-12 Honda Motor Co Ltd 貫通配線構造
JP2009147218A (ja) * 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP5358089B2 (ja) 2007-12-21 2013-12-04 スパンション エルエルシー 半導体装置
US7804119B2 (en) 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US8253230B2 (en) * 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5324822B2 (ja) * 2008-05-26 2013-10-23 ラピスセミコンダクタ株式会社 半導体装置
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20100013060A1 (en) * 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
KR20100021856A (ko) * 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7935571B2 (en) * 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) * 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US7999320B2 (en) 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US8097964B2 (en) * 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9070679B2 (en) 2009-11-24 2015-06-30 Marvell World Trade Ltd. Semiconductor package with a semiconductor die embedded within substrates
US8294261B2 (en) * 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
US8587121B2 (en) * 2010-03-24 2013-11-19 International Business Machines Corporation Backside dummy plugs for 3D integration
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8174124B2 (en) * 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8466553B2 (en) 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8273604B2 (en) 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8791009B2 (en) 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101771012B (zh) * 2009-01-05 2016-01-20 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US10163756B2 (en) 2009-01-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for stacked dies
US9978708B2 (en) 2009-09-22 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9716074B2 (en) 2009-09-22 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9449875B2 (en) 2009-09-22 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8841773B2 (en) 2010-03-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
CN102315198A (zh) * 2010-06-30 2012-01-11 台湾积体电路制造股份有限公司 具有对准标记的结构及堆叠装置的制造方法
CN102315198B (zh) * 2010-06-30 2013-09-11 台湾积体电路制造股份有限公司 具有对准标记的结构及堆叠装置的制造方法
CN102468229A (zh) * 2010-11-15 2012-05-23 南亚科技股份有限公司 集成电路结构及其制备方法
CN102655136A (zh) * 2011-02-11 2012-09-05 海力士半导体有限公司 半导体芯片及其制造方法
CN102655136B (zh) * 2011-02-11 2016-06-08 海力士半导体有限公司 半导体芯片及其制造方法
US9633900B2 (en) 2011-06-09 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for through silicon via structure
US9997497B2 (en) 2011-06-09 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via structure
CN104285280A (zh) * 2012-03-12 2015-01-14 美光科技公司 横越多个导电柱的平坦化的半导体构造和方法
CN104285280B (zh) * 2012-03-12 2017-03-08 美光科技公司 横越多个导电柱的平坦化的半导体构造和方法
CN103367241B (zh) * 2012-04-09 2015-05-27 南亚科技股份有限公司 显露穿硅通孔的方法
CN103367241A (zh) * 2012-04-09 2013-10-23 南亚科技股份有限公司 显露穿硅通孔的方法
US10079200B2 (en) 2012-09-20 2018-09-18 Taiwan Semiconductor Manufacturing Company Packaging devices and methods
US9653418B2 (en) 2012-09-20 2017-05-16 Taiwan Semiconductor Manufacturing Company Packaging devices and methods
CN103681360A (zh) * 2012-09-20 2014-03-26 台湾积体电路制造股份有限公司 封装器件及方法
CN103915399A (zh) * 2013-01-07 2014-07-09 株式会社电装 半导体器件
CN103915399B (zh) * 2013-01-07 2017-10-17 株式会社电装 半导体器件
CN104183571A (zh) * 2013-05-27 2014-12-03 联华电子股份有限公司 直通硅晶穿孔及其制作工艺
CN104183571B (zh) * 2013-05-27 2018-01-23 联华电子股份有限公司 直通硅晶穿孔及其制作工艺
CN107078119A (zh) * 2014-10-31 2017-08-18 高通股份有限公司 高密度扇出封装结构
CN107078119B (zh) * 2014-10-31 2021-05-14 高通股份有限公司 高密度扇出封装结构
CN106404013A (zh) * 2015-07-30 2017-02-15 约翰内斯·海德汉博士有限公司 位置测量机构
CN106404013B (zh) * 2015-07-30 2020-08-28 约翰内斯·海德汉博士有限公司 位置测量机构
CN105550432A (zh) * 2015-12-11 2016-05-04 格科微电子(上海)有限公司 三维集成电路芯片及其电源网络布局方法
CN108155166B (zh) * 2016-12-05 2022-11-11 台湾积体电路制造股份有限公司 集成电路封装、形成集成电路封装的方法及内连结构
CN108155166A (zh) * 2016-12-05 2018-06-12 台湾积体电路制造股份有限公司 集成电路封装的重布线层结构
CN109285825A (zh) * 2017-07-21 2019-01-29 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
CN109285825B (zh) * 2017-07-21 2021-02-05 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
CN110752162A (zh) * 2018-07-23 2020-02-04 西安电子科技大学 基于x波段氮化镓预失真集成电路及制作方法
WO2020238173A1 (zh) * 2019-05-31 2020-12-03 京东方科技集团股份有限公司 显示背板及其制备方法和显示装置
CN113169149A (zh) * 2019-05-31 2021-07-23 京东方科技集团股份有限公司 显示背板及其制备方法和显示装置
US11764343B2 (en) 2019-05-31 2023-09-19 Boe Technology Group Co., Ltd. Display backboard and manufacturing method thereof and display device
US11929358B2 (en) 2019-05-31 2024-03-12 Boe Technology Group Co., Ltd. Display backplate and method for manufacturing same, display panel and method for manufacturing same, and display device
CN112997314A (zh) * 2019-08-16 2021-06-18 京东方科技集团股份有限公司 显示背板及其制作方法、显示装置
CN112997314B (zh) * 2019-08-16 2022-12-09 京东方科技集团股份有限公司 显示背板及其制作方法、显示装置
US11600747B2 (en) 2019-08-16 2023-03-07 Boe Technology Group Co., Ltd. Display backplane and method of manufacturing the same, display device

Also Published As

Publication number Publication date
US20130299992A1 (en) 2013-11-14
US8513119B2 (en) 2013-08-20
TW201023331A (en) 2010-06-16
US9312225B2 (en) 2016-04-12
CN101752336B (zh) 2012-05-23
TWI416692B (zh) 2013-11-21
US20100140805A1 (en) 2010-06-10

Similar Documents

Publication Publication Date Title
CN101752336B (zh) 半导体装置及其制造方法
TWI411084B (zh) 半導體元件與其形成方法
CN101771012B (zh) 半导体装置及其制造方法
JP5271985B2 (ja) 集積回路構造
US20220208749A1 (en) Semiconductor devices and methods of manufacture thereof
US20230386976A1 (en) Semiconductor Device Having Backside Interconnect Structure on Through Substrate Via
TWI544597B (zh) 積體電路元件以及半導體製程
US8846523B2 (en) Process of forming through-silicon via structure
CN102332435B (zh) 电子元件及其制作方法
US8581366B2 (en) Method and system for forming conductive bumping with copper interconnection
US9437578B2 (en) Stacked IC control through the use of homogenous region
US20160118355A1 (en) Planar passivation for pads
US7553743B2 (en) Wafer bonding method of system in package
US11508619B2 (en) Electrical connection structure and method of forming the same
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
CN114914225A (zh) 半导体装置及其制造方法
TWI459507B (zh) 一種製作矽貫通電極的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant