TWI604082B - 矽氮化物膜之成膜方法 - Google Patents

矽氮化物膜之成膜方法 Download PDF

Info

Publication number
TWI604082B
TWI604082B TW103134765A TW103134765A TWI604082B TW I604082 B TWI604082 B TW I604082B TW 103134765 A TW103134765 A TW 103134765A TW 103134765 A TW103134765 A TW 103134765A TW I604082 B TWI604082 B TW I604082B
Authority
TW
Taiwan
Prior art keywords
gas
nitride film
film
ruthenium
forming
Prior art date
Application number
TW103134765A
Other languages
English (en)
Other versions
TW201520358A (zh
Inventor
柿本明修
長谷部一秀
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201520358A publication Critical patent/TW201520358A/zh
Application granted granted Critical
Publication of TWI604082B publication Critical patent/TWI604082B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

矽氮化物膜之成膜方法 【相關申請文獻】
本發明係依據2013年10月7日於日本提出申請之日本特許出願第2013-210456號及2014年8月25日提出申請之日本特許出願第2014-170202號所產生的優先權之利益,將上述日本出願之內容,全部作為參考文獻引用至此。
本發明係關於一種矽氮化物膜之成膜方法及成膜裝置。
矽氮化物膜,在半導體積體電路裝置中,不僅只作為閘極絕緣膜等絕緣材料,亦作為蝕刻阻擋層、側壁間隙壁、應力襯層等之材料而被廣為使用。吾人已知矽氮化物膜之成膜方法。
作為在矽氮化物膜之成膜方法的第1習知例,已知一種將作為三元系薄膜之含硼的氮化矽膜(SiBN膜)之成膜方法。該第1習知例中,使用二氯矽烷(DCS:SiH2Cl2)作為矽原料氣體,並使用三氯化硼(BCl3)作為硼原料氣體。該第1習知例,係藉由重複以下(1)~(4)之步驟,而在被處理體之被處理面上,形成SiBN膜:(1)將DCS氣體及BCl3氣體,同時對處理室內供給以形成含硼的矽膜;(2)沖洗處理室內;(3)作為氮化氣體對處理室內供給氨(NH3),將含硼的矽膜電漿氮化藉以形成SiBN膜;以及(4)沖洗處理室內。
以此一方式形成的SiBN膜,可獲得下述等優點:‧與使用電漿加強CVD法(PECVD)而形成的SiBN膜相比,階梯覆蓋性良好;‧與典型的氮化矽膜(SiNx膜)或典型的氮化硼膜(BN膜)相比,容易進行反應性離子蝕刻法(RIE)所產生之蝕刻;‧與典型的BN膜相比,濕蝕刻抗性優良;以及‧與典型的SiNx膜相比,相對介電常數變低。
此外,作為矽氮化物膜之成膜方法的第2習知例,已知一種含硼的氮化矽膜(SiBN)之成膜方法。該第2習知例中,亦與該第1習知例同樣地使用DCS作為 矽原料氣體,並使用BCl3作為硼原料氣體。該第2習知例,係藉由重複以下(1)~(8)之步驟,而在被處理體之被處理面上,形成SiBN膜:(1)對處理室內供給DCS氣體以形成矽膜;(2)沖洗處理室內;(3)作為氮化氣體對處理室內供給NH3,將矽膜氮化,宜為電漿氮化而藉以形成氮化矽膜;(4)沖洗處理室內;(5)作為硼原料氣體對處理室內供給BCl3,於氮化矽膜添加硼以形成SiBN膜;(6)沖洗處理室內;(7)作為氮化氣體對處理室內供給NH3,將含硼的氮化矽膜,藉由以電漿活性化之NH3進一步電漿氮化,並自SiBN膜將來自BCl3之殘留Cl成分去除;以及(8)沖洗處理室內。
以此一方式形成的SiBN膜,可獲得下述等優點:‧與未藉由以電漿活性化之NH3進一步電漿氮化的情況相比,蝕刻抗性優良;以及‧與典型的SiNx膜相比,相對介電常數變低。
另,該第2習知例中,作為硼原料氣體,除了BCl3以外,可使用不含鹵素之乙硼烷(B2H6)、三甲基硼(B(CH3)3)。
此外,作為第3習知例,已知一種含硼的矽膜之成膜方法。該第3習知例中,使用甲矽烷(SiH4)作為矽原料氣體,並使用BCl3作為硼原料氣體。該第3習知例,係藉由重複以下(1)~(4)之步驟,而在被處理體之被處理面上,形成含硼的矽膜:(1)對處理室內供給SiH4氣體以形成矽膜;(2)沖洗處理室內;(3)對處理室內供給BCl3氣體,將硼吸附於矽膜之表面,以形成含硼的矽膜;以及(4)沖洗處理室內。
以此一方式形成之含硼的矽膜,可獲得下述等優點:‧進行硼原子所產生的觸媒作用,與藉由SiH4氣體單體之矽膜的成膜相比,可在更低溫,例如350℃程度的溫度下將矽膜成膜;以及‧即便在低溫下成膜,階梯覆蓋性仍良好。
另,該第3習知例中,作為硼原料氣體,除了BCl3以外,亦可使用不含鹵素之B2H6
近來,使用者對成膜裝置的要求,漸漸出現大幅改變。此一要求係為「提高成膜裝置之生產力」。且該生產力的提高,亦應達到維持並提高良好的階梯覆蓋性、達成對薄膜要求之電氣或物理特性、兼備良好加工性與優良耐蝕刻性,等如同對該第1及第2習知例之要求。
迄今為止,生產力的提高,係以輸送機械人的高速化、加熱裝置或冷卻裝置之升溫降溫速度的高速化等,所謂硬體的改良為中心而達成。然則從近年的實際情況來看,若僅有硬體的改良,則變得漸難滿足使用者要求之生產力。
本發明提供一種,不單僅僅取決於硬體的改良,亦可滿足膜的均一性、電氣或物理特性、及加工性等來自使用者之要求,並提高成膜裝置之生產力的矽氮化物膜之成膜方法、以及實行該成膜方法之成膜裝置。
本發明之第1態樣的矽氮化物膜之成膜方法,於被處理體之被處理面上形成矽氮化物膜,包含如下步驟:將由向該被處理面,供給含矽之矽原料氣體之步驟,及向該被處理面,供給含有促進該矽原料氣體分解之物質的促進分解氣體之步驟構成的第1處理,重複預定之第1次數的步驟;將向該被處理面供給含氮之氮化氣體的第2處理,施行預定之第2次數的步驟;以及使自該重複第1處理步驟起至該施行第2處理步驟為止之程序為1循環,將該1循環施行預定之第3次數,以將該矽氮化物膜,於該被處理面上成膜的步驟。
本發明之第2態樣的矽氮化物膜之成膜方法,於被處理體之被處理面上形成矽氮化物膜,包含如下步驟:向該被處理面,供給含有促進含矽之矽原料氣體之分解的物質之促進分解氣體後,向該被處理面,供給該含矽之矽原料氣體之步驟;向該被處理面,供給含氮的氮化氣體之步驟;以及使自供給該促進分解氣體及該矽原料氣體之步驟起至供給該氮化氣體之步驟為止的程序為1循環,將該1循環施行預定之次數,以將該矽氮化物膜,於該被處理面上成膜的步驟。
本發明之第3態樣的成膜裝置,於被處理體之被處理面上形成矽氮化物膜,具備:處理室,對該被處理體,施行成膜處理;矽原料氣體供給機構,對該處理室供給矽原料氣體;促進分解氣體供給機構,對該處理室供給促進分解氣體;氮化氣體供給機構,對該處理室供給氮化氣體;加熱機構,將該處理室加熱;以及控制部,於該成膜處理時,控制該矽原料氣體供給機構、該促進分解氣體供給機構、該氮化氣體供給機構、及該加熱機構,以實行如上述第1態樣或上述第2態樣的矽氮化物膜之成膜方法。
將附圖作為本說明書之一部分引入而示意本發明之實施形態,與上述一般說明及後述實施形態之細節,一同說明本發明的概念。
1‧‧‧矽基板
2-1~2-9‧‧‧矽層
3‧‧‧硼原子
4、4-1~4-3‧‧‧矽膜
5、5-1~5-3‧‧‧矽氮化物膜
10‧‧‧凸部
11‧‧‧凹部
12‧‧‧矽氮化物
100、200、200a~200c‧‧‧成膜裝置
101、202‧‧‧處理室
102‧‧‧頂棚板
103‧‧‧歧管
104‧‧‧密封構件
105‧‧‧縱式晶圓舟
106‧‧‧桿部
107‧‧‧保溫筒
108‧‧‧平台
109‧‧‧蓋部
110‧‧‧旋轉軸
111‧‧‧磁性流體密封件
112‧‧‧密封構件
113‧‧‧臂部
114‧‧‧處理氣體供給機構
115‧‧‧惰性氣體供給機構
117a‧‧‧矽原料氣體供給源
117b‧‧‧促進分解氣體供給源
117c‧‧‧氮化氣體供給源
120‧‧‧惰性氣體供給源
121a~121d‧‧‧流量控制器
122a~122d‧‧‧開閉閥
123a~123c‧‧‧分散噴嘴
124‧‧‧氣體噴吐孔
128‧‧‧噴嘴
129、204a~204c‧‧‧排氣口
130‧‧‧排氣口覆蓋構件
131‧‧‧氣體出口
132‧‧‧排氣機構
133‧‧‧加熱裝置
140‧‧‧電漿產生機構
141‧‧‧電漿區隔壁
142‧‧‧開口
143‧‧‧電漿電極
144‧‧‧供電線
145‧‧‧高頻電源
146‧‧‧絕緣保護蓋
150‧‧‧控制器
151‧‧‧使用者介面
152‧‧‧記憶部
201‧‧‧轉台
203a~203f‧‧‧氣體供給管
205‧‧‧電漿產生機構
206‧‧‧搬出入口
207‧‧‧閘閥
PS1~PS12‧‧‧處理平台
S1~S9‧‧‧步驟
圖1係顯示本發明之第1實施形態的矽氮化物膜之成膜方法的一例之流程圖。
圖2A~圖2N係顯示本發明之第1實施形態的矽氮化物膜之成膜方法的一例之主要步驟的剖面圖。
圖3係顯示矽氮化物膜之成膜方法的成膜程序之時序圖。
圖4係顯示溫度與矽的沉積率之一關係例的圖。
圖5A係顯示比較例之階梯覆蓋性的剖面圖。
圖5B係顯示第1實施形態之階梯覆蓋性的剖面圖。
圖6A係顯示比較例之氮原子的穿透例之剖面圖。
圖6B係顯示第1實施形態之氮原子的穿透例之剖面圖。
圖7係顯示本發明之第2實施形態的矽氮化物膜之成膜方法的一例之流程圖。
圖8係概略示意可實施本發明之第1、第2實施形態的矽氮化物膜之成膜方法的第3實施形態之成膜裝置的縱剖面圖。
圖9係圖8所示之成膜裝置的水平剖面圖。
圖10係概略示意可實施本發明之第1、第2實施形態的矽氮化物膜之成膜方法的第4實施形態之成膜裝置的水平剖面圖。
圖11係顯示在第4實施形態的成膜裝置中實施矽氮化物膜之成膜方法的情況之程序的流程圖。
圖12係顯示各處理溫度的循環數與矽氮化物膜之膜厚的關係之圖。
圖13係顯示處理溫度與矽氮化物膜之折射率的關係之圖。
圖14A係顯示第1變形例之成膜裝置200a的處理平台之圖。
圖14B係顯示第2變形例之成膜裝置200b的處理平台之圖。
圖14C係顯示第3變形例之成膜裝置200c的處理平台之圖。
【實施本發明之最佳形態】
本案發明人等,為了提高成膜裝置之生產力,而著眼於矽氮化物膜之成膜程序的改善,即軟體的改善。而例如突破如下之固定概念而完成本發明:在利用如同ALD法之交互供給法形成矽氮化物膜時,使「1次矽原料氣體的供給與1次氮化氣體的供給」為1循環,將此1循環重複複數次。此一結果,實現不單僅僅取決於硬體的改良,亦可滿足膜的均一性、電氣特性、及加工性等來自使用者之要求,並提高成膜裝置之生產力的矽氮化物膜之成膜方法。
以下,參考附圖而說明本發明之數個實施形態。另,全部附圖中,對於共通部分給予共通的參考符號。下述之詳細說明中,為了能夠充分理解本發明而給予大量具體的詳細內容。然而,應理解所屬技術領域中具有通常知識者即便不具此等詳細說明仍可獲得本發明。其他例子中,為了避免難以了解各種實施形態,而未對習知方法、順序、系統與構成要素詳細地例示。
(第1實施形態)
<成膜方法>
圖1為顯示本發明之第1實施形態的矽氮化物膜之成膜方法的一例之流程圖,圖2A~圖2N為顯示該成膜方法之主要步驟的剖面圖。
第1實施形態中,將矽基板(矽晶圓=單晶矽)1,作為形成矽氮化物膜之基底的一例而使用(參考圖2A)。基底,不限為矽基板1,亦可為矽氧化物膜等絕緣膜、金屬膜等導電體膜。第1實施形態中,將矽氮化物膜(後述),於矽基板1之被處理面上成膜。
其次,將矽基板1搬入成膜裝置之處理室(後述)內,施行「矽膜的形成處理」而作為第1步驟。為了此一步驟,於處理室內,朝向矽基板1之被處理面,供給含矽之矽原料氣體(圖1之步驟S1)。第1實施形態中,使用甲矽烷(SiH4)氣體作為矽原料氣體。
步驟S1之處理條件的一例為:甲矽烷流量:1000sccm
處理時間:30sec
處理溫度:200℃
處理壓力:133Pa(1Torr)
(本說明書中,將1Torr定義為133Pa。)
藉此,將SiH4氣體熱分解,將SiH4吸附於矽基板1之被處理面上,形成薄層的第1矽層2-1(圖2B)。第1矽層2-1的膜厚,例如,為數十原子層的厚度。
矽原料氣體,並不限為SiH4氣體,為含矽的矽化合物氣體即可。例如,作為矽烷系氣體,除了SiH4氣體以外,亦可使用:Si2H6氣體、Si3H8氣體等, 矽的數目為2個以上之所謂的高次矽烷氣體。本說明書中,將矽烷系氣體,定義為包含矽的數目為1個之甲矽烷氣體、及矽的數目為2個以上之高次矽烷氣體兩者的氣體。
此外,矽原料氣體,亦可使用將矽烷系氣體之氫原子,置換為氫以外之原子的矽化合物氣體等。例如,可使用將矽烷系氣體之氫原子置換為氯原子的如下氣體等:SiH3Cl氣體、SiH2Cl2氣體、SiHCl3氣體、Si2H5Cl氣體、Si2H4Cl2氣體、Si2H3Cl3氣體、Si2H2Cl4氣體、Si2HCl5氣體。
而後,將處理室內排氣並對處理室內供給惰性氣體,沖洗處理室內(圖1之步驟S2)。作為惰性氣體,可使用氮(N2)氣體、氬(Ar)氣體等稀有氣體。
接著,於處理室內,朝向矽基板1之被處理面,供給含有促進矽原料氣體的分解之物質的促進分解氣體(圖1之步驟S3)。促進矽原料氣體的分解之物質的 一例,為硼(B)。第1實施形態中,使用乙硼烷(B2H6)氣體作為促進分解氣體。
步驟S3之處理條件的一例為:乙硼烷流量:200sccm
處理時間:30sec
處理溫度:200℃
處理壓力:133Pa(1Torr)
藉此,於第1矽層2-1上,吸附硼原子3(圖2C)。吸附在第1矽層2-1上的硼原子3,具有促進矽化合物氣體的分解,在第1實施形態中為SiH4氣體的分解之觸媒作用。因此,例如即便在100℃以上而未達於400℃之低溫帶,與未吸附硼原子3之情況相比,仍可使例如SiH4氣體的分解更快速地進展。
促進分解氣體,並不限為B2H6氣體,為含硼的硼化合物氣體即可。例如,作為硼烷系氣體,除了B2H6氣體以外,亦可使用:BH3(甲硼烷)氣體等。
此外,關於硼的數目為2個以上之所謂的高次硼烷氣體,亦不限為B2H6氣體。本說明書中,將硼烷系氣體,定義為包含硼的數目為1個之甲硼烷氣體、及硼的數目為2個以上之高次硼烷氣體兩者的氣體。
此外,亦可使用將硼烷系氣體之氫原子,置換為氫以外之原子的硼化合物氣體等。例如,亦可使用將硼烷系氣體之硼原子置換為氯原子的如下氣體等:BCl3氣體、(B(C2H5))3氣體。
而後,將處理室內排氣並對處理室內供給惰性氣體,沖洗處理室內(圖1之步驟S4)。使用之惰性氣體可與步驟S2相同。
其後,如圖1之步驟S5所示,判斷步驟S1~步驟S4之重複次數,是否達到設定值n次(然則n為2以上)。在判斷為未達到設定值(NO)的情況,再度重複步驟S1~步驟S4。相反地在判斷為達到設定值(YES)的情況,前往步驟S6。
藉由如此地將步驟S1~步驟S4重複2次以上,而於矽基板1之被處理面上形成第1矽膜4-1。於圖2D顯示,使設定值n為「3」,將步驟S1~步驟S4重複3次,藉以具有第1矽層2-1、第2矽層2-2及第3矽層2-3而構成的第1矽膜4-1之例子。另,圖2D裡,省略步驟S3中吸附在第3矽層2-3上的硼原子3之圖示。
而後,作為第2步驟,將「矽膜的氮化處理」,在施行過「矽膜的形成處理」之處理室內實行。為了此一步驟,於處理室內,朝向形成有第1矽膜4-1的矽基板1之被處理面,供給含氮之氮化氣體(圖1之步驟S6)。第1實施形態中,使用氨(NH3)氣體作為氮化氣體。此外,第1實施形態中,對NH3氣體施加能量,產生例如含有氮自由基N或氨自由基NH等之活性氮,將此一活性氮朝向矽基 板1之被處理面供給。施加於NH3氣體之能量的一例,為高頻電場。作為高頻電場之產生手法(產生機構),例如使用使2片電極板相對向,而於2片電極板間產生高頻電場的平行平板型RF電漿產生機構。
步驟S6之處理條件的一例為:氨流量:1000sccm
處理時間:10sec
處理溫度:200℃
處理壓力:133Pa(1Torr)
高頻電場:ON
藉此,將第1矽膜4-1,例如自由基氮化,而形成第1矽氮化物膜5-1(圖2E)。
氮化氣體,並不限為NH3氣體,為含氮的氮化合物氣體即可。例如亦可使用:聯胺(N2H4)、聯胺衍生物等氣體。
自然亦可為氮氣單體。
此外,高頻電場之產生手法(產生機構),亦不限為平行平板型RF電漿產生機構。例如亦可使用:無聲放電、 輻射形棍狀槽孔天線等。
接著,關閉高頻電場,將處理室內排氣並對處理室內供給惰性氣體,沖洗處理室內(圖1之步驟S7)。使用的惰性氣體可與步驟S2相同。
而後,如圖1之步驟S8所示,判斷步驟S6及步驟S7之重複次數,是否達到設定值p次(然則p為1以上)。在判斷為未達到設定值(NO)的情況,再度施行步驟S6及步驟S7。相反地在判斷為達到設定值(YES)的情況,前往步驟S9。
藉由如此一方式地將步驟S6及步驟S7施行1次以上,而使第1矽膜4-1氮化,將第1矽氮化物膜5-1形成在矽基板1之被處理面上。於圖2E顯示,使設定值p為「1」,將步驟S6及步驟S7施行1次,藉以將第1矽膜4-1氮化的例子。
另,第1實施形態中,顯示可將氮化次數設定為1次以上之有限值的例子。然則,在將氮化次數限定為1次的情況,亦可自矽氮化物膜之成膜配方省略步驟S8,以在步驟S7之後實行步驟S9的方式構成成膜配方。
第1實施形態中,在至今為止之步驟S1~步驟S8(或步驟S7)前,亦即,使自具有複數次之矽層2-1、2-2及2-3的形成之矽膜的形成處理(步驟S1~步驟S5)起,至矽膜的氮化處理(步驟S6~步驟S8(或步驟S7))之程序為1循環。
其次,如圖1之步驟S9所示,判斷上述1循環之次數,是否達到設定值m(然則m為1以上)。在判斷為未達到設定值(NO)的情況,再度施行步驟S1~步驟S8(或步驟S7)。相反地在判斷為達到設定值(YES)的情況,結束遵循第1實施形態的矽氮化物膜之成膜方法的成膜處理。
第1實施形態中顯示使設定值m為「3」,將具有複數次之矽層2-1、2-2及2-3的形成之矽膜的形成處理(步驟S1~步驟S5),及矽膜的氮化處理(步驟S6~步驟S8(或步驟S7))實行3循環之例子。
因此,首先,如圖2F~圖2H所示,藉由將步驟S1~步驟S4重複3次(設定值n=3),而於第1矽氮化物膜5-1上,形成具有第4矽層2-4、第5矽層2-5及第6矽層2-6而構成的第2矽膜4-2。
其次,如圖2I所示,藉由將步驟S6及步驟S7施行1次(設定值P=1),而將第2矽膜4-2自由基氮化,形成第2矽氮化物膜5-2。
進一步,如圖2J~圖2L所示,再度將步驟S1~步驟S4重複3次,於第2矽氮化物膜5-2上,形成具有第7矽層2-7、第8矽層2-8及第9矽層2-9而構成的第3矽膜4-3。
此外,如圖2M所示,再度將步驟S6及步驟S7施行1次,將第3矽膜4-3自由基氮化,以形成第3矽氮化物膜5-3。
如此地,如圖2N所示,於矽基板1之被處理面上,將矽氮化物膜5成膜。
若依此等第1實施形態的矽氮化物膜之成膜方法,則可獲得如同下述之優點。
(處理量的提高)
圖3為顯示矽氮化物膜之成膜方法的成膜程序之時序圖。圖3為,將第1實施形態的矽氮化物膜之成膜方法、與比較例的矽氮化物膜之成膜方法,在時間軸上對比的圖。比較例為,使1循環為「1次矽原料氣體及促進分解氣體的供給」與「1次氮化氣體的供給」,將其重複複數次之成膜方法。另,對於矽原料氣體的供給時間、促進分解氣體的供給時間、氮化氣體的供給時間、沖洗時間,將第1實施形態及比較例雙方假定為相同。
如圖3所示,第1實施形態的矽氮化物膜之成膜方法,使1循環為「複數次矽原料氣體及促進分解氣體的供給」與「1次氮化氣體的供給」。因此,與使1循環為「1次矽原料氣體及促進分解氣體的供給」與「1次氮化氣體的供給」之比較例相比,可減少氮化氣體的供給次數。例如,相對於3次矽原料氣體及促進分解氣體的供給,若使氮化氣體的供給為1次,則可將氮化氣體的供給及沖洗分別省略2次的分。可縮短此一部分之處理時間。此外,此一縮短分,在每次重複1循環時累積。因此,若考慮供給矽原料氣體及促進分解氣體60次而形成矽氮化物膜,則成為如下情形: 比較例:供給氮化氣體60次
第1實施形態:供給氮化氣體20次。
因此,若依第1實施形態,則與比較例相比可將氮化氣體的供給及沖洗分別省略40次分,可縮短此一部分之處理時間。
進一步,若考慮供給矽原料氣體120次而形成矽氮化物膜,則成為如下情形:比較例:供給氮化氣體120次
第1實施形態:供給氮化氣體40次
在此一情況,可將氮化氣體的供給及沖洗分別省略80次分。
如此地,第1實施形態所產生之處理時間的縮短效果,若矽原料氣體的供給次數增加則變得越高。
(良好的階梯覆蓋性)
比較例中,為了縮短處理時間,而將矽原料氣體的每1次供給之矽膜的成膜量提高,減少至矽膜之膜厚達到設計值為止的必要循環數即可。為了達到此一目的,將成膜溫度提升至使矽開始化學氣相沉積的溫度而提高沉積率即可。在沉積率與溫度之間,具有隨著溫度上升而沉積率增高的傾向。圖4顯示溫度與矽的沉積率之一關係例。
若微觀地分析隨著溫度上升而沉積率增高之傾向,則如圖4所示,在未達於400℃的溫度帶中,於沉積率觀察到微小的「波動」。詳而言之,如圖4所示,矽的沉積率自100℃附近起開始上升,之後,在至300℃附近前持續緩緩地上升。一旦超過300℃則沉積率的上升率開始爬升,若超過400℃則沉積率急遽地上升。
吾人推測此等「波動」,係與將矽吸附於基底,或沉積於基底有關。例如,認為在100℃以上而未達於300℃的溫度帶中,僅將矽吸附於基底,或以吸附為主。此外,認為若成為300℃以上,則在吸附的矽之上更使矽沉積,亦即,雖矽的化學氣相沉積(CVD)作用微小但已然開始。而一旦成為400℃以上,則以矽的沉積為主,開始幾近完全的矽之CVD沉積。
因此,為了提升矽原料氣體每1次之矽的成膜率,使成膜溫度為400℃以上即可。然而,若使矽CVD沉積,則如圖5A所示,例如,具有第1矽膜4-1的形成在矽基板1之被處理面的凸部10或凹部11之被覆性(階梯覆蓋性)容易惡化的現象。
相對於此等現象,若依第1實施形態,則不必非得為了縮短處理時間,而將矽的成膜溫度提升至CVD沉積之溫度。
因此,可如圖5B所示地,維持良好的階梯覆蓋性,而形成例如第1矽膜4-1。對於第2矽膜4-2、較第2矽膜4-2更為上層之矽膜,亦可繼續保有此一優點。 作為結果,將階梯覆蓋性優良的矽膜4-1、4-2、...,分別依序氮化並成膜之厚層的矽氮化物膜5,可獲得良好的階梯覆蓋性。
(抑制氮的穿透)
比較例中,在未將矽的成膜溫度提高至CVD沉積的溫度之情況,形成之第1矽膜4-1的膜厚變得非常薄。因此,若將第1矽膜4-1氮化,則如圖6A所示,有氮原子N穿透第1矽膜4-1而到達基底的可能性。一旦發生氮原子N的穿透,若基底為矽基板1,則在矽基板1之被處理面附近的區域,轉變為矽氮化物12。此外,若基底為矽氧化物,則該被處理面附近的區域,轉變為氮氧化矽。亦即,比較例中,有基底轉變為其他物質之可能性高等現象。
相對於此等現象,若依第1實施形態,則藉由堆疊複數層的矽層2-1~2-3而獲得第1矽膜4-1。因此,可將第1矽膜4-1之膜厚,增厚至足夠抑制氮原子N的穿透之膜厚。而若將第1矽膜4-1之膜厚,設定為足夠抑制氮的穿透之膜厚,則如圖6B所示,可獲得如下優點:在進行氮化處理時,可抑制氮原子N到達基底,例如到達矽基板1之情形,可降低基底轉變為其他物質之可能性。
(提升矽氮化物膜中之氮濃度的控制性)
矽氮化物膜之化學計量法組成比為「Si:N=3:4(Si3N4)」。然則,矽氮化物膜,可藉由其形成方法獲得各種組成比。而矽氮化物膜之氮濃度,亦即,矽氮化物膜之組成,左右例如薄膜應力。例如,有在相對於Si3N4之組成為富矽 組成的情況,薄膜應力小,相反地在相對於Si3N4之組成為富氮組成的情況,薄膜應力變大等情況。
若依第1實施形態的矽氮化物膜之成膜方法,則氮濃度,可藉由改變矽膜的氮化處理(步驟S6及步驟S7)中之,氮化氣體的供給時間、流量,及施行步驟S6及步驟S7之次數而加以控制。此外,亦可藉由改變矽膜的形成處理(步驟S1~步驟S4)之重複次數而加以控制。
例如,如同比較例地,使1循環為「1次矽原料氣體的供給」與「1次氮化氣體的供給」的情況,在矽氮化物膜之膜厚達到設計值為止之前,有氮化氣體的供給次數容易變多的傾向。因此,不易將氮濃度抑制為低濃度。
此一問題,若依照第1實施形態,則藉由增加矽膜的形成處理(步驟S1~步驟S4)之重複次數,而將矽膜4(4-1、4-2、…)之膜厚增厚,便可提高成膜的矽氮化物膜5中含有之矽的比率。因此,相對地可將矽氮化物膜5中所含之氮的比率抑制為低濃度。作為結果,與比較例相比,亦可擴大可選擇之氮濃度範圍。
如此地,若依第1實施形態,則亦可獲得將矽氮化物膜中之氮濃度的控制性亦提高等優點。
<關於成膜溫度帶>
其次,對成膜溫度帶加以說明。
如同參考圖4之說明,將矽膜成膜時,在未達於400℃的溫度帶中沉積率具有微小的「波動」。推測此係因未達於400℃的溫度帶中,具有僅吸附(或以吸附為主)的溫度帶、及發生吸附+沉積的溫度帶(自吸附轉變為CVD沉積的轉變溫度帶)之故。一旦成為400℃以上,則開始沉積,亦即將近完全的矽膜之CVD沉積(即便單獨為SiH4氣體,仍可使Si沉積)。
如同上述,雖若使矽膜CVD沉積則成膜率格外提高,但階梯覆蓋性容易惡化。自此一觀點來看,第1實施形態的矽氮化物膜之成膜方法中,在未達於開始將近完全的CVD沉積之溫度的溫度下,形成矽膜4(4-1、4-2、…)為佳。
亦即,宜將步驟S1中之矽膜4(4-1、4-2、…)的成膜溫度,設定在矽開始吸附到被處理面上之吸附開始溫度以上,且未達於矽開始CVD沉積之CVD沉積開始溫度的溫度帶。該溫度帶的一例,為100℃以上而未達於400℃(在此一溫度帶中,與吸附之SiH4氣體反應的B2H6所產生之Si吸附或沉積開始進行)。
進一步作為適宜溫度帶,為矽開始吸附到被處理面上之吸附開始溫度以上,且未達於CVD沉積轉變開始溫度。吾人已知在CVD沉積轉變開始溫度以上,未達於CVD沉積開始溫度的溫度帶中,混合矽的吸附、及些微的矽的CVD沉積。些微的矽的CVD沉積,可能在矽膜4(4-1、4-2、...)表面產生微小的凹凸。為了降低此一可能性,宜將步驟S1中之矽膜4(4-1、4-2、…)的成膜溫度, 設定在矽開始吸附到被處理面上之吸附開始溫度以上,且未達於矽開始往CVD沉積轉變之CVD沉積轉變開始溫度的溫度帶。藉此,矽膜4(4-1、4-2、…)的形成處理,能夠幾乎藉由矽的吸附而施行。此外,該溫度帶的一例,為100℃以上而未達於300℃。
<關於促進分解氣體>
接著,對促進分解氣體加以說明。
如同上述,為了將步驟S1中之矽膜4(4-1、4-2、…)的成膜溫度,降低至未達於CVD沉積開始溫度之溫度,而宜如步驟S3所示地,供給含有促進矽原料氣體的分解之物質的促進分解氣體。供給促進分解氣體,使吸附的SiH4,與含有促進分解之物質的促進分解氣體例如B2H6反應,而吸附或沉積Si。藉此,與不供給促進分解氣體的情況相比,可使矽原料氣體的分解,例如在較熱分解更為低溫發生,能夠在低溫下吸附或沉積Si。
熱分解之低溫化的效果,係因促進熱分解之物質而異。特別適合之物質為上述的硼。因此,促進分解氣體,宜使用含硼的硼化合物氣體。
此外,熱分解之低溫化的效果,亦因硼化合物氣體而異。第1實施形態中,作為硼化合物氣體,例示硼-氫系化合物氣體(硼烷系氣體)、硼-鹵素系化合物氣體(BCl3氣體)。
將硼烷系氣體、硼-鹵素系氣體相比的情況,熱分解之低溫化的效果,為硼烷系氣體較高。例如,使用係硼烷系氣體之一的B2H6氣體之情況,可使步驟S1中之矽膜4(4-1、4-2、…)的成膜溫度,低溫化至200℃以下。自進一步低溫化的觀點來看,宜於促進分解氣體,選擇硼烷系氣體。
另,習知方法中,雖將矽原料氣體與硼原料氣體,同時對處理室內供給,但吾人認為其不具有如第1實施形態之硼所產生的觸媒作用,抑或即便具有觸媒作用,仍較第1實施形態更弱。吾人認為此係因習知方法中,將矽原料氣體與硼原料氣體,同時對處理室內供給,故不易將硼高密度地吸附於被處理面上之故。
此外,習知方法中,雖對處理室內供給硼原料氣體,但吾人認為此一方法,亦不具有如第1實施形態之硼所產生的觸媒作用,抑或即便具有觸媒作用,仍較第1實施形態更弱。吾人認為此係因習知方法中,將含硼的矽氮化物膜進一步電漿氮化,因而被處理面上的硼密度減少之故。
基於此等理由,則可說宜將促進分解氣體,在供給矽原料氣體前、將矽膜氮化前供給。自此一觀點來看,例如,亦可替換圖1所示之步驟S1與步驟S3。亦即,在供給促進分解氣體後(步驟S3),予以沖洗,而後,供給矽原料氣體(步驟S1)。接著,施行後續的沖洗。如此地替換步驟S1及S3亦可。
<關於矽膜的氮化處理>
接著,對矽膜的氮化處理加以說明。
第1實施形態中,在矽膜4(4-1、4-2、…)的氮化處理時,對氮化氣體,施加熱能以外的能量。藉此,可進行低溫下的氮化,例如,在與矽膜4(4-1、4-2、...)的形成處理相同之溫度(例如200℃)下氮化。具體而言,第1實施形態中,進一步對氮化氣體施加電能,例如施加高頻功率,而於處理室內,產生活性氮,例如至少產生氮自由基。之後,於處理室內,使至少產生的氮自由基與矽膜4(4-1、4-2、...)反應,將矽膜4(4-1、4-2、…)氮化。
此處,在矽原料氣體、或促進分解氣體,使用含有鹵素之氣體的情況,可能於矽膜4(4-1、4-2、...)中,雖為微量但仍可能殘留鹵素。第1實施形態中,氯原子具有殘留的可能性。即便在矽膜4(4-1、4-2、…)中殘留氯原子,若為微量則仍可接受。然而,若考慮今後之細微化的進展,則殘留之微量的氯原子,仍非常有可能對矽氮化物膜5的成膜程序造成影響。
特別是,第1實施形態中,在矽膜4(4-1、4-2、…)的氮化處理時,進一步施加電能。若對殘留在矽膜4(4-1、4-2、…)中之微量的氯原子施加電能,則氯原子與矽原子或硼原子分離,於處理室內,有產生氯自由基、氯電漿、及氯離子等的可能性。
氯自由基、氯電漿、氯離子,係在矽的電漿蝕刻中使用之蝕刻劑。亦即,在矽膜4(4-1、4-2、...)的氮化處理時,有自矽膜4(4-1、4-2、...)產生成為蝕刻劑之物質的可能性。若於反應室內,產生蝕刻矽的蝕刻劑,則有自 矽膜4(4-1、4-2、…)奪取矽原子,亦即有同時發生蝕刻反應的可能性。例如,蝕刻劑為氯的情況之反應式的一例如同以下。
Si+4Cl→SiCl4
此等蝕刻作用與矽膜4(4-1、4-2、...)的氮化處理同時發生之情況,可能成為矽膜4(4-1、4-2、…)之膜厚減少或表面粗糙度惡化的原因之一。此一現象,在對矽膜4(4-1、4-2、…)的氮化處理時進一步施加熱能以外的能量之情況中,特別容易發生。
因此,在矽膜4(4-1、4-2、...)的氮化處理中,進一步施加熱能以外的能量之情況,於欲抑制引起矽膜4(4-1、4-2、...)之蝕刻反應的可能性之情況,可進行如同下述之改善手法:(a)於矽原料氣體,使用不含鹵素之矽的化合物氣體;(b)於促進分解氣體,使用不含鹵素的化合物氣體。
採用此等(a)、(b)中之至少一方法。
藉由此等方式,而能夠獲得如下等優點:可在矽膜4(4-1、4-2、...)的氮化處理時,降低引起蝕刻反應之可能性;膜厚的控制性變得更好,且表面粗糙度的惡化亦可受到抑制。
進一步宜進行: (c)於矽原料氣體,使用矽烷系氣體;(d)於促進分解氣體,使用硼烷系氣體。
若同時採用此等(c)、(d),則不僅實施上述(a)、(b)兩點,亦可獲得使矽膜,實際上僅以矽、氫及硼3個元素構成等優點。
氫,係為在非晶質矽膜的形成中扮演重要角色之元素。此外,若使硼在成膜的矽氮化物膜中所含有之比例未滿1%,則成膜的矽氮化物膜,實際上可作為氮化矽膜(SiN)處理。相反地,若使硼在成膜的矽氮化物膜中所含有之比例為1%以上,則成膜的矽氮化物膜,成為含硼的矽氮化物膜(SiBN)。硼,係在氮化矽膜中存在亦無影響之元素,其成為將SiBN膜成膜時所必須之元素。
如此地,藉由同時採用(c)、(d),而能夠獲得可自成膜的矽氮化物膜排除多餘的元素之殘留或混入、可將品質更佳的矽氮化物膜成膜等優點。
<SiN膜、SiBN膜之分別製作方法>
接著,對SiN膜、SiBN膜之分別製作方法加以說明。
若在促進分解氣體使用硼化合物氣體,則可使成膜的矽氮化物膜5中含有硼。若控制硼的含有量,則矽氮化物膜5,可分別製作為SiN膜、SiBN膜之任一。
具體的一例為,若使成膜的矽氮化物膜5中所含有之硼的比例未滿1%,則成膜的矽氮化物膜5,實際上成為可作為氮化矽膜(SiN)處理的膜。
此外,若使成膜的矽氮化物膜5中所含有之硼的比例為1%以上,則成膜的矽氮化物膜5,可成為含硼的氮化矽膜(SiBN)。
如此地,藉由在促進分解氣體使用硼化合物氣體,控制成膜的矽氮化物膜5中之硼的含有量,而能夠獲得可分別製作SiN膜及SiBN膜、可提升成膜製程之通用性等優點。
<矽膜的成膜溫度與氮化溫度>
而後,說明矽膜4(4-1、4-2、...)的成膜溫度與氮化溫度。
若兼備在矽膜4(4-1、4-2、…)的形成處理時,使用促進分解氣體;及在矽膜4(4-1、4-2、…)的氮化處理時,對氮化氣體,施加熱能以外的能量之雙方條件,則可將成膜溫度及氮化溫度,雙方降低至例如未達於400℃的低溫。
考慮此一情況,則亦可使矽膜4(4-1、4-2、...)的成膜溫度,與矽膜4(4-1、4-2、…)的氮化溫度,為相同溫度。若使此等成膜溫度與氮化溫度為相同溫度,則可獲得在自矽膜的形成處理(步驟S1~步驟S4),移行至矽膜的氮化處理(步驟S6及步驟S7)時,不須改變處理室內的溫度地完成此一程序等優點。
一旦改變處理室內的溫度,則發生升溫時間及降溫時間等,對於成膜處理中之被處理體呈處理停止狀態,即所謂的待機時間。
此等待機時間,可藉由使矽膜4(4-1、4-2、...)的成膜溫度、與矽膜4(4-1、4-2、…)的氮化溫度為相同溫度而加以解決。藉由如此地減少升溫時間及降溫時間等所謂的待機時間,而亦可進一步獲得將第1實施形態的矽氮化物膜之成膜方法的生產力更為提高等優點。
(第2實施形態)
第1實施形態的矽氮化物膜之成膜方法中,說明可將步驟S1與步驟S3予以替換。替換步驟S1與步驟S3的情況之具體的一例,為第2實施形態。
圖7為顯示本發明之第2實施形態的矽氮化物膜之成膜方法的一例之流程圖。
如圖7所示,第2實施形態中,將矽基板1搬入成膜裝置之處理室內後,於處理室內,朝向矽基板1之被處理面,供給含有促進矽原料氣體的分解之物質的促進分解氣體(步驟S3)。步驟S3之處理條件,可與第1實施形態中說明之步驟S3的處理條件相同。
其次,例如,將處理室內排氣並對處理室內供給惰性氣體,沖洗處理室內(步驟S2)。步驟S2中使用的惰性氣體,可與第1實施形態中說明之氣體相同。
接著,朝向矽基板1之被處理面,供給含矽之矽原料氣體(步驟S1)。步驟S1之處理條件,可與第1實施形態中說明之步驟S1的處理條件相同。
而後,例如,將處理室內排氣並對處理室內供給惰性氣體,沖洗處理室內(步驟S4)。步驟S4中使用的惰性氣體,可與第1實施形態中說明之氣體相同。
接著,如圖7之步驟S5所示,判斷係矽膜的形成處理之步驟S3~步驟S2~步驟S1~步驟S4的重複次數,是否達到設定值n次(然則n為1以上)。在判斷為未達到設定值(NO)的情況,再度重複步驟S3~步驟S2~步驟S1~步驟S4。相反地在判斷為達到設定值(YES)的情況,與第1實施形態同樣地前往步驟S6,將係矽膜的氮化處理之步驟S6~步驟S7施行係設定值之p次(然則P為1以上)。之後,如步驟S9所示,判斷使矽膜的形成處理~矽膜的氮化處理為1循環之程序的次數,是否達到設定值m次(然則m為1以上)。在判斷為未達到設定值(NO)的情況,再度施行上述程序。相反地在判斷為達到設定值(YES)的情況,結束遵循第2實施形態的矽氮化物膜之成膜方法的成膜處理。
如此地,亦可替換矽原料氣體的供給(步驟S1)、與促進分解氣體的供給(步驟S3),在先施行促進分解氣體的供給(步驟S3)後,再施行矽原料氣體的供給(步驟S1)。
第2實施形態所產生之優點為,藉由將促進分解氣體,較矽原料氣體更早供給至矽基板1之被處理面,而可使第1實施形態中必須使步驟S5中的設定值n為「2」以上的部分,降低至為「1」以上。藉由使步驟S5中的設定值n為「1」以上,而可對處理量的提高、矽原料氣體及促進分解氣體的節約有所助益,並在第2實施形態的矽氮化物膜之成膜方法中,可進一步獲得製程之自由度增加等優點。
<成膜裝置>
接著,對於第3實施形態之成膜裝置加以說明,該成膜裝置可實施本發明之第1、第2實施形態的矽氮化物膜之成膜方法。
圖8為概略示意可實施本發明之第1、第2實施形態的矽氮化物膜之成膜方法的,第3實施形態之成膜裝置的縱剖面圖;圖9為圖8所示之成膜裝置的俯視水平剖面圖。
如圖8及圖9所示,成膜裝置100,具有下端開口之有頂棚的圓筒體狀之處理室101。處理室101之全體,例如由石英形成。於處理室101內的頂棚,設置石英製之頂棚板102。在處理室101的下端開口部,例如,隔著O型環等密封構件104而連結以不鏽鋼成形為圓筒體狀之歧管103。
歧管103支持處理室101的下端。自歧管103之下方,將縱式晶圓舟105插入處理室101內。縱式晶圓舟105,具有複數根形成有複數條未圖示之支持溝的桿部106,於上述支持溝,支持作為被處理體之複數片,例如50~100片半導體基板,本例中為矽基板1之邊緣部的一部分。藉此,於縱式晶圓舟105,多層地載置矽基板1,於處理室101內沿著高度方向收納複數片矽基板1。
縱式晶圓舟105,隔著石英製之保溫筒107而載置於平台108上。平台108,被支持於貫通使歧管103的下端開口部開閉之例如不鏽鋼製的蓋部109之旋轉軸110上。於旋轉軸110之貫通部,設置例如磁性流體密封件111,將旋轉軸110氣密性地密封並以可旋轉的方式支持。在蓋部109的周邊部與歧管103的下端部之間,插設例如由O型環構成之密封構件112。藉此保持處理室101內的密閉性。旋轉軸110,例如安裝在被支持於晶舟升降部等之升降機構(未圖示)的臂部113之前端。藉此,使縱式晶圓舟105及蓋部109等,一體化地升降並對處理室101內插入脫出。
成膜裝置100,具有對處理室101內供給處理所使用的氣體之處理氣體供給機構114、及對處理室101內供給惰性氣體之惰性氣體供給機構115。
本例之處理氣體供給機構114,具有矽原料氣體供給源117a、促進分解氣體供給源117b、及氮化氣體供給源117c。此外,惰性氣體供給機構115,具有惰性氣體供給源120。
自矽原料氣體供給源117a供給的矽原料氣體,在圖1所示之步驟S1中使用,其一例為SiH4氣體。自促進分解氣體供給源117b供給的促進分解氣體,在圖1之步驟S3中使用,其一例為B2H6氣體。自氮化氣體供給源117c供給的氮化氣體,在圖1所示之步驟步驟S6中使用,其一例為NH3氣體。自惰性氣體供給源120供給的惰性氣體,在供給至處理室101內之氣體的稀釋,或圖1所示之步驟S2、步驟S4及步驟S7中的沖洗處理等使用,其一例為Ar氣體。
矽原料氣體供給源117a,隔著流量控制器121a及開閉閥122a,而與分散噴嘴123a連接。此外,促進分解氣體供給源117b,隔著流量控制器121b及開閉閥122b而與分散噴嘴123b(圖8未圖示。參考圖9)連接。另,氮化氣體供給源117c,隔著流量控制器121c及開閉閥122c而與分散噴嘴123c連接。
分散噴嘴123a~123c由石英管構成,於歧管103之側壁往內側貫通,向上方彎曲而垂直地延伸。於分散噴嘴123a~123c之垂直部分,隔著既定間隔形成複數個氣體噴吐孔124。藉此,將各氣體,自氣體噴吐孔124起於水平方向朝向處理室101內略均一地噴吐。
於上述處理室101之側壁的一部分,形成電漿產生機構140。電漿產生機構140為能量施加機構,對氮化氣體施加能量,以至少產生活性氮。電漿產生機構140,具備與處理室101之外壁氣密性地熔接的電漿區隔壁141。電漿區隔壁141,例如以石英形成。電漿區隔壁141剖面呈凹部狀,覆蓋形成於處理室101之側壁的開口142。開口142,以可於上下方向中涵蓋支持在縱式晶圓舟105之全部矽基 板1的方式,例如藉由切削處理室101之側壁,而形成為上下方向細長狀。本例中,於電漿區隔壁141所規定之內側空間,亦即,電漿產生空間之內部,配置噴吐氮化氣體的分散噴嘴123c。
於電漿產生機構140具備:一對電漿電極143,在電漿區隔壁141之兩側壁的外面,以沿著上下方向的方式彼此對向地配置,呈細長狀;以及高頻電源145,例如分別藉由供電線144而與一對電漿電極143連接,對一對電漿電極143供給高頻電力。高頻電源145,對一對電漿電極143,施加例如13.56MHz之高頻電壓。藉此,在電漿區隔壁141所規定的電漿產生空間內,施加高頻電場。自分散噴嘴123c噴吐出的氮化氣體,在施加高頻電場之電漿產生空間內被電漿化,例如,將作為含有氮自由基N或氨自由基NH等活性氮的電漿氣體,通過開口142而對處理室101之內部供給。另,在成膜裝置100中,若停止對一對電漿電極143供給高頻電力,則可使自分散噴嘴123c噴吐出的氮化氣體維持不被電漿化,並往處理室101之內部供給。
於電漿區隔壁141之外側,以將其包覆的方式,例如安裝由石英構成的絕緣保護蓋146。於絕緣保護蓋146之內側部分,設置未圖示之冷媒通路,例如藉由流通冷卻的氮氣而可將電漿電極143冷卻。
惰性氣體供給源120,隔著流量控制器121d及開閉閥122d,而與噴嘴128相連接。噴嘴128,貫通歧管103之側壁,自其前端起,將惰性氣體往水平方向噴吐。
於處理室101內之,與分散噴嘴123a~123c為相反側的部分,設置供將處理室101內排氣之排氣口129。藉由於處理室101之側壁往上下方向切削而將排氣口129形成為細長形。在處理室101的與排氣口129對應之部分,以覆蓋排氣口129的方式將剖面成形為ㄈ字狀之排氣口覆蓋構件130藉由熔接加以安裝。排氣口覆蓋構件130,沿著處理室101之側壁往上方延伸,於處理室101的上方規定氣體出口131。氣體出口131,與具有真空泵等之排氣機構132相連接。排氣機構132,藉由將處理室101內排氣而將處理所使用之處理氣體的排氣,並使處理室101內之壓力為因應處理的處理壓力。
於處理室101之外周設置筒體狀的加熱裝置133。加熱裝置133,將供給至處理室101內的氣體活性化,並將收納於處理室101內的被處理體,本例中為矽基板1予以加熱。
成膜裝置100之各部的控制,係藉由例如以微處理器(電腦)構成之控制器150施行。控制器150與使用者介面151連接,使用者介面151係由操作人員用於管理成膜裝置100而施行指令的輸入操作等之觸控式面板、將成膜裝置100的運作狀況視覺化而顯示之顯示器等構成。
控制器150與記憶部152相連接。記憶部152,儲存有以成膜裝置100實行之供藉由控制器150的控制而實現各種處理所用之控制程式、供因應處理條件而於成膜裝置100的各構成部實行處理所用之程式,亦即儲存有配方。配方,例如記 憶於記憶部152中之記憶媒體。記憶媒體,可為硬碟或半導體記憶體,亦可為CD-ROM、DVD、快閃記憶體等可攜式之記憶媒體。此外,亦可自其他裝置,透過例如專用線路而適當傳送配方。配方,因應必要,以來自使用者介面151的指示等自記憶部152讀取,使控制器150實行依照讀取出的配方之處理,藉以使成膜裝置100,依據控制器150的控制,而實施期望之處理。
使用如圖8及圖9所示之成膜裝置100,藉由控制器150,控制矽原料氣體供給源117a、促進分解氣體供給源117b、氮化氣體供給源117c、加熱裝置133、及電漿產生機構140,以實行實施形態的矽氮化物膜之成膜方法,藉而可實施本發明之第1、第2實施形態的矽氮化物膜之成膜方法。
<成膜裝置:第2例>
圖10為概略示意可實施本發明之第1、第2實施形態的矽氮化物膜之成膜方法的,第4實施形態之成膜裝置的水平剖面圖。
作為成膜裝置並不限為如圖8及圖9所示之縱式分批式成膜裝置。例如亦可為如圖10所示之水平型分批式成膜裝置200。於圖10概略示意水平型分批式之成膜裝置200的處理室202之水平剖面。另,圖10中,省略處理氣體供給機構、惰性氣體供給機構、排氣裝置、加熱裝置、及控制器等之圖示。此外,第4實施形態的成膜裝置200,特別可有效地運用在第2實施形態的矽氮化物膜之成膜方法。因此,第4實施形態的成膜裝置200為,假設被應用於第2實施形態的矽氮化物膜之成膜方法的情況而加以說明。
如圖10所示,成膜裝置200,係於轉台201上,載置例如5片矽基板1,並對複數片本例中為5片矽基板1施行成膜處理。轉台201,設置於成膜裝置200之處理室202內,在將5片矽基板1沿著圓周方向載置之狀態,例如逆時針旋轉。
將處理室202之內部,分割為6個處理平台PS1~PS6,藉由旋轉轉台201,使矽基板1,於6個處理平台依序迴轉。本例中,若轉台轉1圈,則施行矽膜的形成處理~矽膜的氮化處理之1循環。具體處理的一例為,於轉台201上載置5片矽基板1,先對處理室202內送出促進分解氣體例如乙硼烷,使成為促進分解之觸媒的乙硼烷吸附於全部的矽基板1。之後,送出矽原料氣體,於全部的矽基板1上形成矽膜。接著,引發電漿,將矽膜氮化。而後以使矽原料氣體持續流出的狀態,在達到既定的循環之前,持續使轉台201旋轉的處理。
最初之處理平台PS1,為施行圖7所示之步驟S3的平台。處理平台PS1中,朝向矽基板1之被處理面施行促進分解氣體的供給。於處理平台PS1之上方,配置供給促進分解氣體的氣體供給管203a。氣體供給管203a,朝向載置於轉台201而迴轉而至的矽基板1之被處理面,供給促進分解氣體。在與處理平台PS1對應之處理室202的部分,設置將促進分解氣體排氣之排氣口204a。另,本例中,氣體的排氣方向,與轉台201的旋轉方向相反。促進分解氣體的一例,為含有0.1%B2H6之氣體。處理平台PS1之處理條件的一例為:含有0.1%B2H6之氣體的流量為250sccm,壓力為133Pa(1Torr)。
對處理平台PS1在逆時針方向相鄰之處理平台,為施行圖7所示之步驟S2的處理平台PS2。處理平台PS2成為較狹窄的空間,矽基板1,以在狹窄的空間中載置於轉台201之狀態通過。於狹窄的空間之內部,自氣體供給管203b供給惰性氣體,施行沖洗處理,同時亦作為將不同的處理氣體彼此隔離之氣體隔離區域而作用。惰性氣體的一例為氮氣。氮氣,例如係以流量1000sccm供給。
對處理平台PS2於逆時針方向相鄰之處理平台,為施行圖7所示之步驟S1的處理平台PS3。處理平台PS3中,朝向矽基板1之被處理面施行矽原料氣體的供給。於處理平台PS3之上方,配置供給矽原料氣體的氣體供給管203c。氣體供給管203c,朝向載置於轉台201而迴轉而至的矽基板1之被處理面,供給矽原料氣體。在與處理平台PS3對應之處理室202的,例如接近前段之處理平台PS2的部分,設置將矽原料氣體排氣之排氣口204b。矽原料的氣體一例,為Si2H6氣體。處理平台PS3之處理條件的一例為:Si2H6氣體的流量為200sccm,壓力為133Pa(1Torr)。
對處理平台PS3於逆時針方向相鄰之處理平台,為施行圖7所示之步驟S4的處理平台PS4。處理平台PS4,與處理平台PS2同樣地成為較狹窄的空間,亦作為氣體隔離區域而作用。於狹窄的空間之內部,自氣體供給管203d供給惰性氣體,使矽基板1通過狹窄的空間,並施行沖洗處理。惰性氣體的一例為氮氣。氮氣,例如係以流量1000sccm供給。
對處理平台PS4於逆時針方向相鄰之處理平台,為施行圖7所示之步驟S6的處理平台PS5。處理平台PS5中,朝向矽基板1之被處理面施行氮化氣體的供給、與氮化氣體的電漿化。因此,於處理平台PS5之上方,配置供給氮化氣體的氣體供給管203e、以及電漿產生機構205。氣體供給管203e,朝向載置於轉台201而迴轉而至的矽基板1之被處理面,供給氮化氣體。電漿產生機構205,對氮化氣體施加能量,以至少產生活性氮。在與處理平台PS5對應之處理室202的例如接近前段之處理平台PS4的部分,設置將氮化氣體排氣之排氣口204c。氮化氣體的一例為氮氣。於引發電漿時,以流量200sccm供給氮氣,以4500sccm供給氬氣;引發電漿後,將氮氣的流量切換為5000sccm。
此外,處理平台PS5,亦作為將矽基板1搬入、搬出至處理室202內的搬出入平台而作用。矽基板1,於處理室202內通過晶圓搬出入口206而被搬入搬出。搬出入口206係藉由閘閥207而開閉。
對處理平台PS5於逆時針方向相鄰之處理平台,為施行圖7所示之步驟S7的處理平台PS6。處理平台PS6,與處理平台PS2、PS4同樣地成為較狹窄的空間,亦作為氣體隔離區域而作用。於狹窄的空間之內部,自氣體供給管203f供給惰性氣體,使矽基板1通過狹窄的空間,並施行沖洗處理。
相對於處理平台PS6在逆時針方向相鄰之處理平台,為上述之處理平台PS1。藉此,若使轉台201轉1圈,則於矽基板1,施行圖7所示之步驟S3~步驟S2 ~步驟S1~步驟S4~步驟S6~步驟S7~步驟S3...的處理。另,處理平台PS1之排氣口204a,在處理室202之處理平台PS1的部分中,設置於接近處理平台PS6處。
以此一方式在成膜裝置200中,使矽基板1迴繞一周,則圖7所示之矽膜的形成處理~矽膜的氮化處理之1循環結束。成膜裝置200,以將矽基板1載置於轉台201之狀態,旋轉至預定的設定值m次,藉而可於矽基板1之被處理面上形成矽氮化物膜。
另,圖10所示之成膜裝置200中,矽膜的形成處理(步驟S3~步驟S2~步驟S1~步驟S4)之設定值n為「1」,同樣地矽膜的氮化處理(步驟S6~步驟S7)之設定值p為「1」。因此,成膜裝置200之嚴謹的程序為,如圖11所示地,自圖7所示之程序削除步驟S5及步驟S8的判斷處理。亦即,第2實施形態的矽氮化物膜之成膜方法,在使「n=1」,「p=1」的情況,亦可自該程序削除判斷是否已達到設定值n(步驟S5)、及是否已達到設定值p(步驟S8)之步驟。
本發明之第1、第2實施形態的矽氮化物膜之成膜方法,亦可藉由使用如圖10所示之水平型分批式成膜裝置200而實施。
<水平型分批式成膜裝置200之膜厚的溫度相關性>
接著,對使用水平型分批式成膜裝置200而成膜的矽氮化物膜之膜厚的溫度相關性加以說明。
圖12為,於各處理溫度示意循環數與矽氮化物膜之膜厚的關係之圖。另,使處理平台PS1(步驟S3)所使用的促進分解氣體為乙硼烷,處理平台PS3(步驟S1)所使用的矽原料氣體為甲矽烷,處理平台PS5(步驟S6)所使用的氮化氣體為氨。此外,使轉台201之轉速為2rpm。
如圖12所示,成膜裝置200中,將處理溫度改變為450℃、400℃、350℃、300℃。此一結果,確認即便為處理溫度300℃仍形成矽氮化物膜。成膜率隨著處理溫度上升而提高,以較少的循環次數將膜厚較厚的矽氮化物膜成膜。
例如,將約40nm之膜厚的矽氮化物膜成膜,在處理溫度450℃下為約150循環,在處理溫度400℃下為約350循環。而同樣地在將約20nm之膜厚的矽氮化物膜成膜方面,在處理溫度450℃下為約100循環,在處理溫度400℃下為約160循環,在處理溫度350℃下為約400循環。此外,在將約10nm之膜厚的矽氮化物膜成膜方面,在處理溫度450℃為約50循環,在處理溫度400℃為約90循環,在處理溫度350℃為約200循環,在處理溫度300℃為約330循環。
自此等結果來看,在使膜厚為厚層的矽氮化物膜處理量良好地成膜之情況,宜選擇高處理溫度,例如400℃以上450℃以下;在將使膜厚為薄層的矽氮化物膜成膜之情況,宜選擇處理溫度300℃以上400℃以下。
進一步,於圖12,將成膜的矽氮化物膜之膜厚的面內均一性以數字表示。處理溫度為450℃,而膜厚為約40nm之面內均一性係42.58(±%)。處理溫度為 400℃,而膜厚為約40nm之面內均一性係39.21(±%)。然則,處理溫度為400℃,而膜厚為約28nm之面內均一性提升為31.84(±%),獲得較良好的結果。
此外,處理溫度350℃之情況中,膜厚約25nm時為29.81(±%),膜厚約9nm時為29.75(±%),獲得30(±%)以下的良好結果。另,處理溫度300℃之情況中,膜厚約5nm時為41.35(±%)。
自此等結果來看,在欲使矽氮化物膜之膜厚的面內均一性,例如位於30(±%)附近,或30(±%)以下的情況,宜將處理溫度設定於300℃以上400℃以下之範圍。
<水平型分批式成膜裝置200的矽氮化物膜之膜質>
接著,對於使用水平型分批式成膜裝置200而成膜的矽氮化物膜之膜質加以說明。
圖13為,顯示處理溫度與矽氮化物膜之折射率的關係之圖。另,折射率顯示光線的波長為約632nm之情況。
如圖13所示,處理溫度300℃~處理溫度450℃之範圍中,成膜的矽氮化物膜之折射率,為1.90以上1.97以下之範圍。自此一結果來看,確認使用水平型分批式成膜裝置200成膜之矽氮化物膜,具有良好的膜質。
如此地,本發明之實施形態的矽氮化物之成膜方法,即便使用如圖10所示之水平型分批式的成膜裝置200,仍可完整地實施。
<水平型分批式成膜裝置之變形例>
而後,對水平型分批式成膜裝置之變形例加以說明。
圖14A為顯示第1變形例之水平型分批式成膜裝置200a的處理平台之圖;圖14B為顯示第2變形例之水平型分批式成膜裝置200b的處理平台之圖;圖14C為顯示第3變形例之水平型分批式成膜裝置200c的處理平台之圖。
如圖14A所示,水平型分批式成膜裝置200a中,將處理室202之內部,分為6個處理平台PS1~PS6,若轉台201轉1圈,則施行由矽膜的形成處理(步驟S3~步驟S2~步驟S1~步驟S4)與矽膜的氮化處理(步驟S6~步驟S7)組成的1循環。亦即,在水平型分批式成膜裝置200a中,轉台201轉1圈=1循環。
相對於此,圖14B所示之水平型分批式成膜裝置200b中,將處理室202之內部分為12個處理平台PS1~PS12,與圖14A所示之水平型分批式成膜裝置200a相比,處理平台PS1~PS7為重複2次。亦即,水平型分批式成膜裝置200b中,轉台201轉1圈=2循環。
如此地,處理室202之處理平台,亦可分割為若轉台201轉1圈,則實施複數次循環。
進一步,圖14C所示之水平型分批式成膜裝置200c中,與圖14B所示之水平型分批式成膜裝置200b相比,省略處理平台PS5及PS6,而將處理室202之內部,分為10個處理平台PS1~PS4、PS7~PS12。如此地分割處理平台的情況,在圖7所示之成膜程序中,將矽膜的形成處理之設定值n設定為「2」。
如此地,處理室202之處理平台,亦可分割為當轉台201轉1圈,則實施複數次矽膜的形成處理與1次矽膜的氮化處理。
如此地,若依本發明之第1、第2實施形態,則可提供不單僅僅取決於硬體的改良,亦可滿足膜的均一性、電氣或物理特性、及加工性等來自使用者之要求,並提高成膜裝置之生產力的矽氮化物膜之成膜方法、以及實行該成膜方法之成膜裝置。
以上,雖依據第1、第2實施形態說明本發明,但本發明,並未限定為上述第1、第2實施形態,可在不脫離其主旨之範圍進行各種變形。
例如,上述第1、第2實施形態中,雖具體例示處理條件,但處理條件,並不限為上述具體的例示,例如可因應處理室101內之容積等,加以適宜變更。
此外,上述第1、第2實施形態,雖顯示在分批式成膜裝置中施行成膜處理之例子,但亦可在單片式成膜裝置中施行。另外,分批式成膜裝置,並不限為縱式,亦可在橫式之分批式成膜裝置中施行。
若依本發明,則可提供不單僅僅取決於硬體的改良,亦可滿足膜的均一性、電氣特性、及加工性等來自使用者之要求,並提高成膜裝置之生產力的矽氮化物膜之成膜方法、以及實行該成膜方法之成膜裝置。
應了解此次揭露之實施形態的全部內容皆僅為例示,而非用於限制本發明。實際上,上述實施形態能夠以多樣化的形態具體實現。此外,上述之實施形態,亦可不脫離附加之專利申請範圍及其主旨地,於各種形態省略、置換、變更。本發明之範圍,包含附加之專利申請範圍與其均等意涵及範圍內的全部變更內容。

Claims (16)

  1. 一種矽氮化物膜之成膜方法,於被處理體之被處理面上進行矽氮化物膜之成膜,包含如下步驟:重複第1處理步驟,將第1處理重複第1預定次數,該第1處理係由向該被處理面供給含矽之矽原料氣體之步驟,及向該被處理面供給含有促進該矽原料氣體分解之物質的促進分解氣體之步驟所構成;施行第2處理步驟,將向該被處理面供給含氮之氮化氣體的第2處理施行第2預定次數;以及矽氮化物膜成膜步驟,以自該重複第1處理步驟起至該施行第2處理步驟為止之程序為1循環,將該1循環施行第3預定次數,以將該矽氮化物膜於該被處理面上成膜,其中該第1預定次數等於或大於2,且該第2及第3預定次數等於或大於1。
  2. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,該重複第1處理步驟,係在矽開始吸附到被處理面上之吸附開始溫度以上,而未達於矽開始CVD沉積之CVD沉積開始溫度的溫度帶中施行。
  3. 如申請專利範圍第2項之矽氮化物膜之成膜方法,其中,該重複第1處理步驟,係在100℃以上而未達於400℃的溫度帶中施行。
  4. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中, 該重複第1處理步驟,係在矽開始吸附到被處理面上之吸附開始溫度以上,未達於矽開始往CVD沉積轉變之CVD沉積轉變開始溫度的溫度帶中施行。
  5. 如申請專利範圍第4項之矽氮化物膜之成膜方法,其中,該重複第1處理步驟,係藉由矽的吸附而施行。
  6. 如申請專利範圍第4項之矽氮化物膜之成膜方法,其中,該重複第1處理步驟,係在100℃以上而未達於300℃的溫度帶中施行。
  7. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,該促進分解氣體不含鹵素。
  8. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,促進該矽原料氣體分解之物質為硼。
  9. 如申請專利範圍第8項之矽氮化物膜之成膜方法,其中,該促進分解氣體為硼烷系氣體。
  10. 如申請專利範圍第8項之矽氮化物膜之成膜方法,其中,將該促進分解氣體,以該成膜的矽氮化物膜中所含有之硼的比例為未滿1%的量,向該被處理面供給,而形成該矽氮化物膜。
  11. 如申請專利範圍第8項之矽氮化物膜之成膜方法,其中,將該促進分解氣體,以該成膜的矽氮化物膜中所含有之硼的比例為1%以上的量,向該被處理面供給,將該矽氮化物膜形成為含硼的矽氮化物膜。
  12. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,該矽原料氣體不含鹵素。
  13. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,該矽原料氣體為矽烷系氣體。
  14. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,將該重複第1處理步驟至施行該第2處理之步驟於同一溫度下施行。
  15. 如申請專利範圍第1項之矽氮化物膜之成膜方法,其中,更包含如下步驟:對該氮化氣體施加能量以產生活性氮之步驟;以及將該活性氮向該被處理面供給之步驟。
  16. 一種矽氮化物膜之成膜方法,於被處理體之被處理面上進行矽氮化物膜之成膜,包含如下步驟:向該被處理面供給含有促進含矽之矽原料氣體分解的物質之促進分解氣體後,向該被處理面供給該含矽之矽原料氣體之步驟;向該被處理面供給含氮的氮化氣體之步驟;以及 使自供給該促進分解氣體及該矽原料氣體之步驟起至供給該氮化氣體之步驟為止的程序為1循環,將該1循環施行預定之次數,以將該矽氮化物膜於該被處理面上成膜的步驟,其中將供給該促進分解氣體及該矽原料氣體之步驟施行等於或大於兩次,且將供給該氮化氣體之步驟施行至少一次。
TW103134765A 2013-10-07 2014-10-06 矽氮化物膜之成膜方法 TWI604082B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013210456 2013-10-07
JP2014170202A JP6267080B2 (ja) 2013-10-07 2014-08-25 シリコン窒化物膜の成膜方法および成膜装置

Publications (2)

Publication Number Publication Date
TW201520358A TW201520358A (zh) 2015-06-01
TWI604082B true TWI604082B (zh) 2017-11-01

Family

ID=52777285

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103134765A TWI604082B (zh) 2013-10-07 2014-10-06 矽氮化物膜之成膜方法

Country Status (4)

Country Link
US (2) US9263250B2 (zh)
JP (1) JP6267080B2 (zh)
KR (1) KR101921359B1 (zh)
TW (1) TWI604082B (zh)

Families Citing this family (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2014192485A (ja) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102264257B1 (ko) * 2014-12-30 2021-06-14 삼성전자주식회사 막 형성 방법 및 이를 이용한 반도체 장치 제조 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016191194A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Pentachlorosilane
EP3310942B1 (en) * 2015-06-16 2022-07-13 Versum Materials US, LLC Processes for depositing silicon-containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6635839B2 (ja) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 窒化膜の形成方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6573575B2 (ja) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11142462B2 (en) 2016-09-26 2021-10-12 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Trichlorodisilane
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102551237B1 (ko) * 2016-12-22 2023-07-03 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
JP6832808B2 (ja) * 2017-08-09 2021-02-24 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6873007B2 (ja) 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP6929209B2 (ja) 2017-12-04 2021-09-01 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6987948B2 (ja) * 2017-12-20 2022-01-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6910311B2 (ja) * 2018-01-04 2021-07-28 東京エレクトロン株式会社 成膜時間の設定方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7003011B2 (ja) * 2018-07-27 2022-01-20 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7169931B2 (ja) * 2019-04-11 2022-11-11 東京エレクトロン株式会社 成膜方法、半導体装置の製造方法、成膜装置、および半導体装置を製造するシステム
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7200880B2 (ja) * 2019-08-19 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110714709B (zh) * 2019-11-15 2020-12-18 浙江兆事达智能家居股份有限公司 一种具有自触发功能的防火卷帘
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202143328A (zh) * 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113025991B (zh) * 2021-02-26 2022-07-22 长鑫存储技术有限公司 半导体结构的制作方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06349741A (ja) * 1993-06-03 1994-12-22 Toshiba Corp 薄膜の形成方法
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US6962876B2 (en) * 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2009065209A (ja) * 2008-12-16 2009-03-26 Seiko Epson Corp 光センサ、光センサの読取方法、マトリクス型光センサ回路および電子機器
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5696530B2 (ja) 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8563443B2 (en) * 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN103620745B (zh) * 2011-08-25 2016-09-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
JP5741382B2 (ja) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP2013191770A (ja) * 2012-03-14 2013-09-26 Tokyo Electron Ltd 成膜装置の安定化方法及び成膜装置
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置

Also Published As

Publication number Publication date
US20160108519A1 (en) 2016-04-21
US9920422B2 (en) 2018-03-20
KR101921359B1 (ko) 2018-11-22
JP6267080B2 (ja) 2018-01-24
KR20150040769A (ko) 2015-04-15
JP2015097255A (ja) 2015-05-21
TW201520358A (zh) 2015-06-01
US9263250B2 (en) 2016-02-16
US20150099374A1 (en) 2015-04-09

Similar Documents

Publication Publication Date Title
TWI604082B (zh) 矽氮化物膜之成膜方法
JP5151260B2 (ja) 成膜方法及び成膜装置
TWI464802B (zh) 藉由化學氣相沉積之低溫介電膜形成
JP5661523B2 (ja) 成膜方法及び成膜装置
JP5852147B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
KR100890684B1 (ko) 반도체 처리용 성막 방법
US9741555B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20160376699A1 (en) Substrate processing apparatus, and storage medium
TW201318065A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
JP2009260151A (ja) 金属ドープ層の形成方法、成膜装置及び記憶媒体
TW201318038A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及記錄媒體
TW201522700A (zh) 氧碳氮化矽膜、氧碳化矽膜、氧氮化矽膜之成膜方法及成膜裝置
JP2008109093A (ja) 成膜方法および成膜装置
TW201308488A (zh) 膜形成方法及膜形成設備
TW201403710A (zh) 製造半導體裝置之方法、處理基板之方法、基板處理設備及非暫時性電腦可讀取記錄媒體
JP6523080B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR101761449B1 (ko) 금속 화합물막의 성막 방법, 성막 장치 및 전자 제품
JP2017005016A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW201602385A (zh) TiSiN膜之成膜方法及成膜裝置
US9552981B2 (en) Method and apparatus for forming metal oxide film
TW201602386A (zh) SiCN膜之成膜方法
JP7030204B2 (ja) 基板処理装置、半導体装置の製造方法、基板処理方法およびプログラム
JP2013187324A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置