TWI496212B - 包含光阻遮罩預處理之電漿製程 - Google Patents

包含光阻遮罩預處理之電漿製程 Download PDF

Info

Publication number
TWI496212B
TWI496212B TW098115837A TW98115837A TWI496212B TW I496212 B TWI496212 B TW I496212B TW 098115837 A TW098115837 A TW 098115837A TW 98115837 A TW98115837 A TW 98115837A TW I496212 B TWI496212 B TW I496212B
Authority
TW
Taiwan
Prior art keywords
mask
feature
dielectric layer
deposition
photoresist
Prior art date
Application number
TW098115837A
Other languages
English (en)
Other versions
TW200952071A (en
Inventor
Dongho Heo
Ji Soo Kim
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200952071A publication Critical patent/TW200952071A/zh
Application granted granted Critical
Publication of TWI496212B publication Critical patent/TWI496212B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

包含光阻遮罩預處理之電漿製程
本發明係關於半導體元件之形成。具體而言,本發明係關於透過光阻(PR)遮罩在蝕刻層蝕刻特徵部而形成半導體元件。
在半導體晶圓處理期間,利用熟知的圖案化製程及蝕刻製程將半導體元件之特徵部定義於晶圓上。在這些製程中,將光阻(PR,photoresist)材料沉積於晶圓上,接著將其暴露於由初縮遮罩所過濾之光源下。此初縮遮罩可為以阻擋光透射通過初縮遮罩之例示性特徵部幾何形狀加以圖案化的玻璃平板。
當光通過初縮遮罩後,光將接觸光阻材料之表面。光會改變光阻材料之化學組成,使顯影劑可移除部分的光阻材料。就正光阻材料而言,曝光區域將被移除;而就負光阻材料而言,未曝光區域將被移除。之後,晶圓受到蝕刻,以從不再受光阻材料保護之區域移除下層材料,從而於晶圓上定義期望之特徵部。
波長為193 nm之氟化氬(ArF)準分子雷射已被用來製造最高級別的積體電路,如次0.04 μm元件。結合浸潤式微影技術(ArF微影技術)之上述技術能使製程低於110 nm節點。因為圖案化影像之聚焦深度(景深)限制,如此小的電路特徵部需要更高的解析度及更薄的光阻。例如,某些DRAM製程(如Bitline)之ArF微影製程使用厚度低於100 nm之薄光阻,光阻材料亦較軟且較弱,在電漿製程期間,設置圖案化光阻遮罩後,如此薄弱之光阻遮罩會輕易且非期望地被蝕刻或受損害。
為實現上述且依據本發明之目的,提供一種透過光阻(PR)遮罩在介電層蝕刻特徵部的方法。利用波長不超過193 nm之雷射光,將光阻遮罩加以圖案化。利用惰性氣體電漿,將PR遮罩預處理,接著提供複數循環之電漿製程,每個循環包含:沉積階段, 於PR遮罩上方沉積一沉積層,該沉積層覆蓋PR遮罩之遮罩特徵部之頂部及側壁;及成形階段,將沉積於PR遮罩上方之沉積層加以塑形。
在本發明之實施樣態中,惰性氣體可包含Ar。PR遮罩之預處理可包含:提供惰性氣體、從惰性氣體形成電漿、及停止惰性氣體流。在電漿形成期間,可提供60 MHz或更高之高頻。在本發明之實施樣態中,電漿形成並不提供頻率低於50 MHz之RF能量。
在本發明之另一實施形式中,提供一種透過光阻(PR)遮罩在介電層蝕刻特徵部的設備。利用波長不超過193 nm之雷射光,將光阻遮罩加以圖案化。該設備包含一電漿處理室,該電漿處理室包含:一室壁,形成電漿處理室之外殼;一基板支座,支持在電漿處理室之外殼內之一基板;一壓力調節器,用以調節電漿處理室之外殼內的壓力;至少一電極,用以提供電力至電漿處理室之外殼以維持一電漿;一氣體入口,用以提供氣體至電漿處理室之外殼;一氣體出口,用以從電漿處理室之外殼排出氣體。該設備更包含一氣體源,與該氣體入口流體連通,該氣體源包含一蝕刻氣體源、一沉積階段氣體源、一成形階段氣體源、及一預處理氣體源。一控制器以可控制之方式連結至氣體源以及至少一電極,該控制器包含至少一處理器及電腦可讀媒體,電腦可讀媒體包含:(a)利用惰性氣體電漿將PR遮罩預處理之電腦可讀碼,其包含從預處理氣體源提供惰性氣體之電腦可讀碼、從惰性氣體形成電漿之電腦可讀碼、及停止惰性氣體流之電腦可讀碼;及(b)提供複數循環之電腦可讀碼,每個循環包含一沉積階段及一成形階段。該電腦可讀碼(b)包含:從沉積階段氣體源提供沉積階段氣體之電腦可讀碼;從沉積階段氣體形成電漿之電腦可讀碼,俾能於PR遮罩上方沉積一沉積層,該沉積層覆蓋PR遮罩之遮罩特徵部之頂部及側壁;停止沉積階段氣體流之電腦可讀碼;從成形階段氣體源提供成形階段氣體之電腦可讀碼;從成形階段氣體源形成電漿之電腦可讀碼,俾能將沉積於PR遮罩上方之沉積層加以塑形;及停止成形階段氣體流之電腦可讀碼。
以下將在本發明之詳細說明連同附圖中,對本發明之上述及其他特徵詳加說明。
本發明現在將參照一些較佳的實施例及舉例性附圖詳細地敘述。為了要提供本發明之全面性的了解,許多的具體的細節會在接下來的敘述中提出。然而對熟悉本技藝者,本發明在沒有這些具體細節的情況下仍可實施。在其他情況下,為了避免不必要地混淆本發明,熟知的製程步驟及/或結構並未詳細地描述。
為了幫助了解,圖1為依據本發明之實施例之包含光阻遮罩預處理之電漿製程的高階流程圖。圖案化之光阻遮罩係設置於蝕刻層上方(步驟102)。
圖2A為依據本發明之實施例加工之堆疊200範例的橫剖面圖。堆疊200包含形成於阻障層212上方的蝕刻層220,阻障層係形成於晶圓210之上。在此例子中,層208係配置於阻障層212及晶圓210之間,雖然顯示層208形成於晶圓210上,可有任何數量之層形成於蝕刻層220與晶圓210之間。在此例子中,阻障層212可為碳化矽(SiC)層,或者其亦可為SiN。蝕刻層220可為low-k介電質(如有機矽酸鹽介電質及多孔介電質),包含:來自加州聖荷西(San Jose)之Novellus的CORALTM ;來自加州聖克拉拉(Santa Clara)之Applied Materials的Black DiamondTM ;可從荷蘭之ASM International N.V.得到的AuroraTM ;可從加州聖克拉拉之Sumitomo Chemical America,Inc.得到的Sumika Film® ;來自新澤西(New Jersey)之Allied Signal of Morristown的HOSPTM ;來自DOW Chemical Company的SiLKTM 或先進多孔SiLK;來自Trikon的Orion® FlowfillTM ;及來自JSR公司的LKDTM
遮罩特徵部224之生成可藉由在蝕刻層220上方形成抗反射層(ARL,anti-reflective layer)216來執行,可藉由旋塗式沉積來形成ARL 216,光阻遮罩232係設置於ARL 216上方(步驟102)。藉由將光阻層曝光於圖案化光源,接著將光阻層232顯影以將光阻遮 罩232加以圖案化,而在光阻層得到遮罩特徵部224。最好利用與浸潤式微影技術結合之ArF(193 nm)光阻來形成PR遮罩(ArF微影技術)。ArF PR適合於製造最高級別的積體電路,如次0.04 μm元件。更一般言之,可利用波長不超過193 nm之雷射光將PR遮罩232加以圖案化。
由於ArF PR遮罩為薄弱,隨後的電漿製程一般會使用具有低離子能量的高密度電漿,以避免PR遮罩之損害或擺動。典型地,申請人使用能夠控制PR遮罩之形狀及/或輪廓的多循環兩階段電漿製程。然而,在上述之高密度低能量的電漿中,許多低能量離子轟擊PR遮罩俾能增加PR材料中溶劑之排氣,其導致PR遮罩之『起泡作用(blistering)』(剝落(pealing-off))。一般認為起泡的PR遮罩易於在電漿製程期間釋放PR材料(微粒)於電漿內,污染晶圓及最終的積體電路。在使用193 nm ArF PR之電漿蝕刻製程中的污染微粒問題及非期望微粒之來源已被研究多時,而申請人終於發現PR遮罩之起泡作用為微粒問題之主因。申請人亦發現在某些條件下利用惰性氣體電漿將PR遮罩預先處理,意外地降低隨後之電漿製程中之PR遮罩之起泡作用。
因此,依據本發明之實施例,為了縮減起泡作用及最終的微粒問題,在堆疊200繼續進行多循環電漿製程(步驟106)之前,利用惰性氣體電漿預先處理PR遮罩232(步驟104),如圖1所示。惰性氣體最好為純氬(Ar),但亦可使用氦(He)、氙(Xe)或其他惰性氣體。
在預處理之後(步驟104)執行多循環電漿製程(步驟106),最好在相同的電漿腔室中。多循環電漿製程(步驟106)提供複數之循環,每個循環包含沉積階段108及成形階段110。沉積階段108在PR遮罩上方沉積一沉積層,沉積層至少覆蓋PR遮罩之遮罩特徵部的頂部及側壁。成形階段110將沉積於PR遮罩上方的沉積層加以塑形。在多循環電漿製程106之後,可執行額外的蝕刻製程(步驟112),且可利用任何剝除或灰化製程來去除PR遮罩(步驟114)。
在顯示於圖2B-2D之本發明之實施例中,藉由多循環電漿製 程106在蝕刻層220選擇性地蝕刻特徵部234。圖3A概要地闡明此例之多循環電漿製程(選擇性蝕刻製程106a)。如圖3A所示,沉積階段108為沉積-蝕刻階段108a,其對於PR遮罩232選擇性地將蝕刻層220加以蝕刻,且將沉積層(聚合物)沉積在PR遮罩232上方以及被蝕刻之特徵部234之側壁上。圖2B概要地闡明沉積-蝕刻階段108a之後之堆疊200的橫剖面圖。可能已執行一個以上的蝕刻循環以得到顯示於圖2B之結構。沉積-蝕刻階段108a蝕刻特徵部234之一部分,同時在被蝕刻之特徵部234之側壁上以及光阻遮罩232上沉積聚合物層236。上述之沉積-蝕刻階段最好提供無限的選擇性,由於上述階段將蝕刻層220加以蝕刻而不蝕刻光阻遮罩232,反而在光阻遮罩232上形成聚合物層236。
在此例子中,如圖3A所示,成形階段110為移除被沉積的聚合物236之聚合物清潔階段110a。圖2C為聚合物清潔階段110a之後的堆疊200之橫剖面圖。可能已執行一個以上的兩階段製程循環以得到顯示於圖2C之結構。聚合物清理階段110a將被蝕刻之特徵部234之側壁上及遮罩特徵部224上的沉積聚合物236移除。在較佳的實施例中,聚合物清潔階段110a期間並不將蝕刻層220加以蝕刻。在其他的實施例中,聚合物清潔階段110a可在特徵部234之底部將蝕刻層220加以蝕刻。
多循環電漿製程106a最好執行10~100次循環;多循環電漿製程106a執行15~50次循環為較佳;多循環電漿製程執行約20次循環為最佳。
圖2D為完成選擇性蝕刻製程(步驟106a)之後之堆疊200的橫剖面圖。在此例子中,特徵部234穿過蝕刻層220而被完全蝕刻。光阻遮罩232未被蝕刻,提供無限的選擇性。
接著剝除光阻遮罩232(圖1,步驟114)。
被蝕刻之特徵部234之側壁最好為垂直。垂直的側壁最好從底部至頂部與特徵部底部形成88o ~90o 之間的角度。應注意沒有聚合物清潔階段(步驟110a),則連續的沉積-蝕刻階段108a會繼續添加更多聚合物於特徵部234之側壁上。因此,特徵部之寬度會減 少而產生錐形而非垂直的側壁。上述製程會導致停止蝕刻,其會限制蝕刻之深度。
蝕刻層220最好為介電層;蝕刻層為low-k介電層為較佳。介電層可為low-k矽氧化物基介電層。在本發明之較佳實施例中,基板210為矽晶圓且介電蝕刻層220為有機矽酸鹽玻璃(OSG,organosilicate glass)或Coral。在較佳的實施例中,阻障層212含有SiC。利用ArF(193 nm PR)光阻來形成遮罩(步驟104)。在較佳實施例中,ARC層為底部抗反射塗層(BARC,bottom antireflective coating)。
圖4為依據本發明一實施例的電漿處理室400之示意圖,該電漿處理室400可用來進行蝕刻與剝除。電漿處理室400包含:限制環402、上電極404、下電極408、氣體源410、及排氣泵420。氣體源410可包含蝕刻氣體源412、沉積階段氣體源414、成形階段氣體源416、及預處理氣體源418。於電漿處理室400之內,將基板210置於下電極408上。下電極408包含用以夾住基板210之合適基板夾頭機構(如靜電、機械式夾頭等)。反應器蓋428包含設置於下電極408正對面之上電極404。上電極404、下電極408、及限制環402定義受限之電漿容積440。經由氣體源410供給氣體至此受限之電漿容積440,並經由排氣泵420將氣體由此受限之電漿容積440通過限制環402與排氣口而排出。第一RF電源444與上電極404電性相連,第二RF電源448與下電極408電性相連。室壁452包圍限制環402、上電極404及下電極408。第一RF電源444與第二RF電源448兩者皆可包含27 MHz之電源、60 MHz之電源、及2 MHz之電源。例如,LAM Research Corporation之介電質蝕刻系統(如由位於加州佛利蒙(Fremont)之LAM Research CorporationTM 所製造的Exelan®系列可用在本發明之較佳實施例中。連結RF功率與電極之不同組合皆有可能。在本發明之較佳實施例中,僅60 MHz之電源用來預處理作為連結至下電極的第二RF電源448,而上電極係為接地。控制器435以可控制之方式連結至RF電源444及448、排氣泵420、及氣體源410。上述之裝 置能夠調節腔室壓力、氣流、氣體組合、RF電源、及每個階段之持續時間。
圖5A及5B說明一電腦系統500,其適合用來執行於本發明之實施例中所使用的控制器435。圖5A顯示電腦系統可能的實體形式。當然,電腦系統可能有許多種實體形式,範圍從積體電路、印刷電路板、小型手提裝置上至龐大的超級電腦。電腦系統500包含螢幕502、顯示器504、機殼506、磁碟機508、鍵盤510及滑鼠512。磁碟514為電腦可讀媒體,用來傳送資料來回電腦系統500。
圖5B為一個電腦系統500的方塊圖範例。附屬在系統匯流排520上者為各種子系統。處理器522(也稱為中央處理單元或CPU)與包含記憶體524之儲存裝置相連接。記憶體524包含隨機存取記憶體(RAM,read access memory)及唯讀記憶體(ROM,read only memory)。如同技術中所熟知者,ROM單向地傳輸資料與指令至CPU,而RAM一般以雙向方式傳輸資料與指令。這兩種型態的記憶體可以包含任何以下所描述的適當電腦可讀媒體。固定式磁碟526也雙向地連接在CPU 522上;它提供額外的資料儲存能力並包含任何以下所描述的電腦可讀媒體。固定式磁碟526可用來儲存程式、資料等,一般為比主要儲存媒體更慢速之輔助儲存媒體(例如硬碟)。應了解:在適當的情況中,保留在固定式磁碟526內的資訊可用標準方式被併入記憶體524中作為虛擬記憶體。卸除式磁碟514可採取以下所描述的電腦可讀媒體之形式。
亦將CPU 522連接至各種輸入/輸出裝置,如顯示器504、鍵盤510、滑鼠512及揚聲器530。一般而言,輸入/輸出裝置可為下列任一:視訊顯示器、軌跡球、滑鼠,鍵盤,麥克風,觸摸式顯示器、轉換讀卡機、讀磁帶或紙帶機、輸入板、尖筆、聲音或手寫辨識器、生物讀取機或其他電腦。CPU 522也可選擇性地使用網路介面540連接至另一電腦或電信網路。利用此一網路介面,預期CPU在執行上述方法步驟的過程中,可從網路接收資訊或輸出資訊到網路。再者,本發明的方法實施例可單獨在CPU 522上 執行,或透過例如結合分享部分處理之遠端CPU之網際網路來執行。
此外,本發明的實施例進一步與有電腦可讀媒體之電腦儲存產品相關,該電腦可讀媒體上具有用以執行各種電腦執行運算之電腦碼。媒體與電腦碼可為本發明之用途所特別設計及建構,或對精於電腦軟體技術之人士而言,它們乃為熟知且可用。實體的電腦可讀媒體之例子包含但不限於:磁性媒體,如硬碟、磁片及磁帶;光學媒體,如唯讀光碟(CD-ROM,compact disc read only memory)及全像裝置;磁光媒體,如軟磁光碟;及專用於儲存與執行程式碼之硬體裝置,如專用積體電路(ASIC,application-specific integrated circuit);可程式化邏輯元件(PLD,programmable logic device);及ROM與RAM裝置。電腦碼的例子包含機械碼(如由編譯器所產生者)及包含利用譯碼器而由電腦所執行之較高階碼的檔案。電腦可讀媒體也可為經由實現在載波上的電腦資料信號加以傳送且表示一連串可由處理器來執行之指令的電腦碼。
圖6概要地闡明PR遮罩之預處理(步驟104)之詳細處理流程。典型地,具有圖案化PR遮罩於其上之層之堆疊的基板210係置於電漿處理室中(步驟132),如上述之電漿處理室400。提供惰性氣體(步驟134),自惰性氣體形成電漿(步驟136)以處理PR遮罩,接著停止惰性氣體流(步驟138)。藉由變更處理氣體及其他電漿條件參數,可執行隨後的多循環電漿製程而不撲滅電漿。
最好提供具有低離子能量之高密度電漿,以使PR遮罩在預處理期間不被蝕刻或損害,但PR遮罩被一些低能量離子『輕拍(tapped)』以使軟或片狀之PR遮罩更硬且具有更結實的結構。預處理氣體最好含Ar;預處理氣體僅含Ar為較佳。例如,100~1000 sccm Ar氣體,最好是700 sccm Ar氣體可被提供。或者,預處理氣體可含Ar、He、及/或Xe、或其混合物。
自預處理氣體形成電漿期間(步驟136),可在功率範圍100~500 W提供60 MHz以上之高頻,功率最好設定在300 W。電漿形成步驟136不提供頻率低於50 MHz的RF能量。當離子能量 無法被直接控制時,300 W之功率範圍及60 MHz以上之高頻確保夠低的離子能量。電漿腔室可被設定在100 mTorr或更大;壓力最好設定在約200 mTorr。
一般認為以低能量惰性氣體離子輕拍PR遮罩之頂部,以按壓並使PR遮罩變堅固。上述引起物理反應,即藉由壓擠而物理地改變PR遮罩材料之性質以使之變堅固,但不引起化學反應。藉由堅固及/或按壓PR遮罩來降低PR遮罩之起泡作用,俾能避免隨後之多循環電漿製程中的微粒污染。
應注意已提出在矽蝕刻製程前於193 nm PR上使用Ar電漿預處理,以在主要的矽蝕刻製程後降低被蝕刻之特徵部的線寬粗糙度(LWR,line width roughness)或線邊緣粗糙度(LER,line edge roughness)。預被蝕刻之堆疊包含Si基板、SiO2 硬質遮罩、底部抗反射塗層(BARC)、及PR。然而,在特定的多循環兩階段蝕刻製程期間,利用高密度低能量電漿之Ar電漿預處理僅控制PR遮罩及/或被蝕刻之矽特徵部的形狀,並非解決關於微粒污染之問題。
如上所述,多循環選擇性蝕刻製程包含複數之循環,每個循環包含沉積階段(步驟108)及成形階段(步驟110)。依據本發明之實施例,沉積階段並不提供頻率低於50 MHz之RF能量;沉積階段最好提供60 MHz以上之高頻。相同地,依據本發明之實施例,成形階段並不提供頻率低於50 MHz之RF能量;成形階段最好提供60 MHz以上之高頻。
如圖3A所示,此例中之多循環電漿製程106藉由多循環電漿製程106a而選擇性地在蝕刻層220蝕刻特徵部。沉積階段108為沉積-蝕刻階段108a,其對於PR遮罩選擇性地將蝕刻層加以蝕刻,且將聚合物(沉積層)沉積在被蝕刻之特徵部之側壁上以及PR遮罩上方。成形階段110為移除被沉積之聚合物的聚合物清潔階段110a。
在上述之選擇性-蝕刻製程106a中,沉積-蝕刻階段(步驟108a)之範例製程配方如下:提供具有40 sccm之CF4 及90 sccm之H2 的沉積-蝕刻階段氣體,腔室壓力設定為90 mTorr,由27 MHz之 RF電源提供1200 W而由2 MHz之RF電源提供400 W。在此例子中,同時進行沉積-蝕刻如一單一步驟。聚合物清潔階段(步驟110a)之範例製程配方如下:提供具有300 sccm之O2 的聚合物清潔階段氣體,腔室壓力設定為250 mTorr,由27 MHz之RF電源提供100 W,而無功率由2 MHz之RF電源提供。
接著剝除PR遮罩(步驟114,圖1)。遮罩剝除之例提供具有10~3000 sccm之O2 的剝除氣體,腔室壓力設定為5~500 mTorr,由2 MHz、27 MHz之RF電源或2 MHz及27 MHz之RF電源之組合提供100~1000 W。
圖3B概要地闡明多循環電漿製程106b之另一例,於其中PR遮罩之臨界尺寸(CD)被縮減。在此例子中,如圖7A所示,沉積階段108b於遮罩特徵部314之底部332上及PR遮罩312上方更沉積一沉積層302。成形階段110為輪廓成形階段110b,自遮罩特徵部之底部332移除沉積層302且留下側壁304而不蝕刻下層介電層,俾能縮減PR遮罩312之CD,如圖7B所示。重複兩階段循環,如圖7C及7D所示,直到得到遮罩特徵部314之期望CD,接著利用被塑形的PR遮罩312將介電層加以蝕刻,被塑形的PR遮罩具有藉由提供複數之循環而被縮減之CD(圖1,步驟112)。在蝕刻製程之後可剝除PR遮罩312(步驟114)。
沉積階段108b之例子可為CF4 沉積作用:其在70 mTorr之壓力下(由設定渦輪泵之Vat閥到1000所建立)利用60 sccm之Ar、75 sccm之CF4 、及100 sccm之H2 的化學物;60 MHz之RF電源提供200-800瓦的功率;此階段維持約12秒。成形階段110b之例子可在25 mTorr之壓力下(由設定渦輪泵之Vat閥到1000所建立)利用87 sccm之CF4 的化學物;60 MHz之RF電源提供200-800瓦的功率;此階段維持約3秒。執行八次循環之利用沉積階段108b接著利用成形階段110b的循環製程。
控制沉積階段108b及輪廓成形階段110b之次數比率的能力乃提供另一個控制變數。合適的比率將提供本質為垂直及保角之側壁。上述之沉積層亦能保護光阻遮罩以增加蝕刻選擇性。其他 能被用來控制沉積輪廓之由本發明提供的控制參數為:循環數目、整體沉積時間、沉積/輪廓成形時間比、氣體化學物比率(如CF4 /H2 )。可使用其他氣體化學物,如C4 F6 /O2 或CH3 F/N2 代替CF4 /H2 、CH3 F/O2 或CH3 F/N2 代替CF4 等等。
圖3C概要地闡明多循環電漿製程106c之另一例子,於其中PR遮罩被削減。在此例子中,沉積階段108c於遮罩特徵部之底部上及PR遮罩上方更沉積一沉積層。成形階段110為削減-蝕刻階段110c,自遮罩特徵部之底部及側壁移除沉積層而不蝕刻介電層,俾能削減遮罩特徵部之側壁。
圖8A-8D概要地闡明每個循環之遮罩特徵部314的橫剖面圖。如圖8A所示,圖案化遮罩312形成於介電層上。典型地,ARL 310設置於介電層之頂部且暴露於遮罩特徵部314之底部332。在這個例子中,如圖8B所示,沉積階段108c於遮罩特徵部314之底部332及PR遮罩312上方更沉積一沉積層330;即沉積層330覆蓋遮罩特徵部314之底部332及遮罩特徵部314之側壁315。沉積層可為一聚合物。在每個沉積階段108c中,一般而言,沉積在遮罩312之頂部之沉積層330的厚度及沉積在遮罩特徵部之底部332之沉積層330的厚度,大於沉積在遮罩特徵部之側壁315之沉積層的厚度。
如圖8C所示,削減-蝕刻階段110c自遮罩特徵部之底部332及側壁315移除沉積層330而不蝕刻介電層,俾能削減遮罩特徵部之側壁。遮罩312之頂部334上的沉積層330大體上在削減-蝕刻階段110c被移除。由於沉積層330在側壁上比在遮罩之頂部上及遮罩特徵部之底部上來的薄,從側壁移除沉積層330之後,側壁沉積物被過度蝕刻至遮罩特徵部之側壁內。對於遮罩之頂部及遮罩特徵部之底部,削減-蝕刻階段最好僅移除前一沉積階段所沉積之沉積層(使之平坦),而不蝕刻遮罩312或ARL 310。藉由控制兩階段,遮罩特徵部之側壁315被削減以擴大其CD,且在每個循環中大體上維持遮罩312之厚度。
圖8D概要地闡明遮罩削減製程之複數循環後之遮罩特徵部 314的橫剖面圖。藉由重複沉積階段108c及削減-蝕刻階段110c,遮罩特徵部之側壁315逐漸被削減成期望的輪廓。削減-蝕刻階段110c最好在孤立區域選擇性地回蝕遮罩特徵部之側壁315(相對於遮罩特徵部之密集區域)。因此,在孤立區域,遮罩特徵部之側壁被削減,且在密集區域遮罩特徵部大體上可維持原本的形狀。
應注意重複複數之循環(在每個循環中沉積薄沉積層及削減微量側壁)(多循環製程)優於一次沉積厚沉積層及削減大量之側壁。首先,多循環製程提供較佳的輪廓調整。沉積厚聚合物層之單一長沉積步驟易產生稱為『麵包塊(bread-loaf)』之輪廓,在極端情況下,特徵部甚至可能被夾止(be pinched off)。另一方面,單一長蝕刻步驟會產生琢面輪廓。包含交替之沉積步驟及蝕刻步驟的複數循環提供具有最小或沒有麵包塊之較佳輪廓控制及較直的側壁。此外,聚合物層變成緻密、縮減之層化作用(delamination)、條狀、或起泡狀(剝落(peeling-off))。例如,超過1000之厚沉積層易從遮罩剝除,特別在遮罩特徵部之邊緣。此外,應注意由於設計法則之要求,並不期望或實際去變更原始遮罩之CD。
可添加額外的階段至每個循環中。多循環遮罩削減製程最好包含至少三個循環;該遮罩削減製程包含四到五個循環為較佳。
依據本發明之實施例,沉積階段(步驟108c)使用包含碳氫化合物成分之沉積氣體;沉積氣體最好包含C2 H4 ;沉積氣體更包含載氣(如N2 )為較佳。削減-蝕刻階段(步驟110c)使用含氧之蝕刻氣體。
沉積階段中(步驟108c)之範例沉積氣體提供具有100-500 sccm之C2 H4 、100-500 sccm之N2 、及10-200 sccm之Ar的調整氣體流。Ar調整氣體可選擇性地提供至晶圓的邊緣部份,以控制沉積製程之均勻性,因為沉積階段在晶圓邊緣之沉積通常比中央之沉積多。壓力被設定在350-750 mTorr。基板溫度維持在0-60℃,例如,約在20℃。第二RF電源提供200-400瓦(頻率為60 MHz)。在另一例子中,沉積階段提供腔室具有500 sccm之C2 H4 、150 sccm之N2 、及150 sccm之Ar的調整氣體流,740 mTorr之壓力及200 瓦或400瓦之功率(頻率為60 MHz)。
削減-蝕刻階段(步驟110c)之範例提供100-1000 sccm之O2 。在此例子中,O2 為選擇性蝕刻階段提供之唯一氣體(不提供調整氣體)。O2 調整氣體可用於削減-蝕刻階段,且其能依據製程及化學物而被提供於邊緣或中央部份。提供350-750 mTorr之壓力至腔室。第二RF電源提供200-400瓦(頻率為60 MHz)。例如,依據本發明之實施例,削減-蝕刻階段提供腔室750 sccm之氧氣流、740 mTorr之壓力及200瓦之功率(頻率為60 MHz)。依據本發明之另一較佳實施例,削減-蝕刻階段提供腔室750 sccm之氧氣流、740 mTorr之壓力及400瓦之功率(頻率為60 MHz)。依據本發明之又另一較佳實施例,削減-蝕刻階段提供腔室200 sccm之氧氣流、380 mTorr之壓力及200瓦之功率(頻率為60 MHz)。
回到圖1,在遮罩削減後,透過被削減之遮罩在介電層蝕刻特徵部(步驟112)。接著剝除遮罩312及ARL 310(步驟114)。
圖3D為多循環電漿製程106之又另一例,其削減遮罩亦將形成於遮罩特徵部之底部上的抗反射層(ARL)加以開口。在此例子中,如圖9A所示,圖案化遮罩312形成於介電層上方之ARL 310之上方。典型地,ARL 310包含一有機的BARC 311及一無機的DARC 313。BARC 311暴露於遮罩特徵部之底部332。
在此例子中,成形階段110為削減-蝕刻階段110d,首先在遮罩特徵部314之底部332蝕刻ARL 310且在每個循環中削減PR遮罩312,如圖9B所示,即,在此例子中,削減-蝕刻階段110d之執行先於沉積-蝕刻階段108d。在削減-蝕刻階段110d中,於一循環中ARL 310被部分蝕刻且並非被完全移除。遮罩312之頂部334及遮罩特徵部314之側壁315亦被部分移除,如圖9B所示。接著沉積-蝕刻階段108d在每個循環中將沉積層330沉積於PR遮罩312上方,且在遮罩特徵部314之底部332更蝕刻ARL 310,如圖9C所示。沉積層330覆蓋遮罩特徵部314之頂部334及遮罩特徵部314之側壁315,同時在遮罩特徵部314之底部332更蝕刻ARL 310。遮罩削減及ARL開口製程包含兩個以上之循環,最好 至少三個循環;該製程包含四或十二個循環為更佳;該製程重複六到七個循環為較佳。
依據本發明之實施例,在遮罩312之頂部334上,每個沉積-蝕刻階段108d所沉積之沉積層330的厚度大體上與前一削減-蝕刻階段110d所移除之遮罩的厚度相同,如圖9C所示。即,在每個循環的最後,遮罩312之原始厚度大體上透過沉積層330來維持。然而,關於遮罩特徵部314之側壁,遮罩特徵部314之側壁315上的沉積層330(尤其在孤立區域中)並不足以完全彌補在先前的削減-蝕刻階段110d期間所損失的側壁。因此,如圖9C所示,多循環電漿製程106d縮減遮罩之側壁,且大體上維持遮罩之原始厚度,導致遮罩之淨削減。此外,在遮罩特徵部之底部332的ARL 310於削減-蝕刻階段110d及沉積-蝕刻階段108d持續被蝕刻。因此,如圖9D所示,藉由重複削減-蝕刻階段110d及沉積-蝕刻階段108d,遮罩特徵部314之側壁315逐漸被削減成期望的輪廓,而為了隨後的介電層蝕刻製程,將位於遮罩特徵部314之底部332的ARL 310加以開口。
回到圖1,在多循環電漿製程(步驟106)之削減及開口之後,透過被削減的遮罩及被開口之ARL,將特徵部蝕刻至介電層(步驟112)。剝除PR遮罩(步驟114)。
依據本發明之實施例,削減-蝕刻階段(步驟110d)使用含有NF3 的削減-蝕刻氣體。削減-蝕刻氣體最好更包含一載氣,如N2 及/或Ar。在這個實施例中,沉積-蝕刻階段(步驟118d)使用含有CF4 的沉積-蝕刻氣體,且沉積一聚合物材料作為沉積層。沉積-蝕刻氣體可為CF4 、H2 及Ar之組合,或CF4 、H2 、N2 及Ar之組合。含有NF3 的削減-蝕刻氣體在孤立區域之蝕刻率比密集區域高,俾使孤立區域之遮罩特徵部之側壁之縮減多於密集區域之遮罩特徵部之側壁。沉積層可為包含碳氫化合物的聚合物(主成分為碳氫化合物之聚合物)。
削減-蝕刻階段(步驟110d)之例子提供具有100-500 sccm,最好為300 sccm之NF3 氣流,壓力設定為140 mTorr,基板之溫度維 持在20℃,第二RF電源最好提供400瓦(頻率為60 MHz)。在另一實施例中,第二FR電源可提供100-500瓦(頻率為2 MHz)或100-500瓦(頻率為27 MHz),取決於晶圓及應用。在一較佳實施例中,NF3 為削減-蝕刻氣體之唯一成分而無任何載氣或調整氣體。在每個削減-蝕刻階段中,在含NF3 之削減-蝕刻氣體被通入腔室之後,從而形成削減-蝕刻電漿,以選擇性地削減遮罩並蝕刻ARL,如上所述。停止削減-蝕刻氣流以使遮罩特徵部之側壁縮減一循環之期望數量。對於一組給定參數(如氣體流速、壓力、及RF電源、及ARL之厚度)而言,被縮減之側壁的數量可藉由削減-蝕刻階段之時間週期來加以控制。
沉積-蝕刻階段(步驟108d)之例子提供:含CF4 之氣體(如20-200 sccm之CF4 及20-200 sccm之H2 作為沉積-蝕刻氣體),及含20-200 sccm之N2 及/或50-500 sccm之Ar之載氣。沉積-蝕刻氣體最好包含60 sccm之CF4 、70 sccm之H2 、30 sccm之N2 、及具有120 sccm之Ar之載氣。提供40-200 mTorr之壓力,最好是80 mTorr至腔室,第二RF電源提供100-500瓦,最好是400瓦(頻率為60 MHz)。在另一實施例中,第二RF電源可提供100-500瓦(頻率為2 MHz)或100-500瓦(頻率為27 MHz),取決於晶圓及應用。
在另一實施例中,每個循環更包含額外的沉積及/或輪廓成形階段。在每個沉積-蝕刻階段中,在含CF4 之蝕刻氣體被通入腔室之後,從而形成電漿以沉積一沉積層且更蝕刻ARL,如上所述。停止含CF4 之蝕刻氣流以使沉積層彌補前一削減-蝕刻階段所損失之遮罩厚度。對於一組給定參數(如氣體流速、壓力、及RF電源、及ARL之厚度)而言,循環之淨削減數量可藉由削減-蝕刻階段及沉積-蝕刻階段之時間週期來加以控制。一般而言,削減-蝕刻階段越長,沉積-蝕刻階段越長。藉由控制每個循環之兩階段及循環數目,於削減及開口步驟結束時,移除遮罩特徵部之底部之ARL,且孤立區域之特徵部之側壁被削減以補償隨後之介電層蝕刻之微負載效應。
在這些例子中,電漿處理室應可調整腔室壓力、氣體流量、 氣體組成、RF功率、及每個階段之持續時間。
雖然本發明已參照數個較佳之實施例說明,仍具有於本發明之範疇內之修改、變更及各種替代之等效設計。應注意有許多實施本發明之方法及設備的替代方法。因此隨附之申請專利範圍可解釋為包含所有在本發明之精神及範疇內之修改、變更及各種替代之等效設計。
102‧‧‧於蝕刻層上方設置光阻遮罩
104‧‧‧利用惰性氣體電漿預先處理光阻遮罩
106‧‧‧多循環電漿製程
106a‧‧‧多循環選擇性蝕刻製程
106b‧‧‧多循環CD縮減製程
106c‧‧‧多循環遮罩削減製程
106d‧‧‧多循環遮罩削減及ARL開口製程
108‧‧‧沉積階段
108a‧‧‧沉積-蝕刻階段
108b‧‧‧沉積階段
108c‧‧‧沉積階段
108d‧‧‧沉積-蝕刻階段
110‧‧‧成形階段
110a‧‧‧清潔階段
110b‧‧‧輪廓成形階段
110c‧‧‧削減-蝕刻階段
110d‧‧‧削減-蝕刻階段
112‧‧‧額外的蝕刻製程
114‧‧‧剝除遮罩
132‧‧‧將基板置於處理室中
134‧‧‧提供惰性氣體
136‧‧‧由惰性氣體形成電漿
138‧‧‧停止惰性氣體流
200‧‧‧堆疊
208‧‧‧層
210‧‧‧晶圓
212‧‧‧阻障層
216‧‧‧抗反射層
220‧‧‧蝕刻層
224‧‧‧遮罩特徵部
232‧‧‧光阻遮罩
234‧‧‧特徵部
236‧‧‧聚合物層
302‧‧‧沉積層
304‧‧‧側壁
310‧‧‧抗反射層
311‧‧‧BARC
312‧‧‧圖案化遮罩
313‧‧‧DARC
314‧‧‧遮罩特徵部
315‧‧‧側壁
330‧‧‧沉積層
332‧‧‧底部
334‧‧‧頂部
400‧‧‧電漿處理室
402‧‧‧限制環
404‧‧‧上電極
408‧‧‧下電極
410‧‧‧氣體源
412‧‧‧蝕刻氣體源
414‧‧‧沉積階段氣體源
416‧‧‧成形階段氣體源
418‧‧‧預處理氣體源
420‧‧‧排氣泵
428‧‧‧反應器蓋
435‧‧‧控制器
440‧‧‧電漿容積
444‧‧‧第一RF電源
448‧‧‧第二RF電源
452‧‧‧室壁
500‧‧‧電腦系統
502‧‧‧螢幕
504‧‧‧顯示器
506‧‧‧機殼
508‧‧‧磁碟機
510‧‧‧鍵盤
512‧‧‧滑鼠
514‧‧‧磁碟
520‧‧‧系統匯流排
522‧‧‧處理器
524‧‧‧記憶體
526‧‧‧固定式磁碟
530‧‧‧揚聲器
540‧‧‧網路介面
本發明乃經由實施例而非限制例而在附圖之圖式中加以說明,其中相同參考標號表示相同元件,其中:圖1為依據本發明之實施例之包含光阻遮罩預處理之電漿製程的高階流程圖。
圖2A-D概要地闡明利用依據本發明之實施例之多循環電漿製程之介電層中特徵部生成的橫剖面圖。
圖3A-D概要地闡明依據本發明之實施例之多循環、兩階段電漿製程之例子。
圖4為可用來進行PR遮罩預處理、隨後之電漿製程、及剝除之電漿處理室的概要圖。
圖5A-B闡明一電腦系統,其適合用來實施用於本發明之實施例中之控制器。
圖6為依據本發明之實施例之光阻遮罩預處理之詳細流程圖。
圖7A-D為利用依據本發明之實施例之多循環電漿製程加以處理之遮罩特徵部的概要橫剖面圖。
圖8A-D為利用依據本發明之另一實施例之多循環電漿製程加以處理之遮罩特徵部的概要橫剖面圖。
圖9A-D為利用依據本發明之又另一實施例之多循環電漿製程加以處理之遮罩特徵部及ARL的概要橫剖面圖。
102‧‧‧於蝕刻層上方設置光阻遮罩
104‧‧‧利用惰性氣體電漿預先處理光阻遮罩
106‧‧‧多循環電漿製程
108‧‧‧沉積階段
110‧‧‧成形階段
112‧‧‧額外的蝕刻製程
114‧‧‧剝除遮罩

Claims (20)

  1. 一種透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,利用波長不超過193nm之雷射光將該光阻遮罩加以圖案化,該方法包含:PR遮罩預處理步驟,利用惰性氣體電漿將PR遮罩預處理,以在不引起化學反應的情況下藉由壓擠而使該PR遮罩材料變堅固;及複數循環提供步驟,提供複數之循環,其中每個循環包含:一沉積階段,於該PR遮罩上方沉積一沉積層,該沉積層覆蓋該PR遮罩之遮罩特徵部之頂部及側壁;及一成形階段,將沉積於該PR遮罩上方之該沉積層加以塑形。
  2. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該惰性氣體包含Ar。
  3. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該PR遮罩預處理步驟包含:惰性氣體提供步驟,提供該惰性氣體;電漿形成步驟,由該惰性氣體形成電漿;及停止步驟,停止該惰性氣體流。
  4. 如申請專利範圍第3項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該電漿形成步驟包含:提供60MHz或更高之高頻。
  5. 如申請專利範圍第4項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該電漿形成步驟並不提供頻率低於50MHz之RF能量。
  6. 如申請專利範圍第4項之透過光阻(PR)遮罩在介電層蝕刻特徵 部的方法,其中該電漿形成步驟更包含:提供低於500瓦之功率。
  7. 如申請專利範圍第3項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該惰性氣體之氣流具有100sccm或更大。
  8. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段提供60MHz或更高之高頻。
  9. 如申請專利範圍第8項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段並不提供頻率低於50MHz之RF能量。
  10. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該成形階段提供60MHz或更高之高頻。
  11. 如申請專利範圍第10項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該成形階段並不提供頻率低於50MHz之RF能量。
  12. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段亦在該介電層蝕刻特徵部,且更於被蝕刻之特徵部之側壁上沉積該沉積層,及其中該成形階段將該沉積層移除。
  13. 如申請專利範圍第12項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段相對於該PR遮罩選擇性地蝕刻該介電層,及其中該成形階段相對於該介電層選擇性地移除該側壁上之該沉積層。
  14. 如申請專利範圍第13項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段並不移除該光阻遮罩,提供無限之選擇性。
  15. 如申請專利範圍第12項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段更於該遮罩特徵部之底部上沉積該沉積層,其中該成形階段更自該遮罩特徵部之該底部移除該沉積層而不蝕刻其下之該介電層,留下側壁以縮減該PR遮罩之CD,及其中該方法更包含:利用該PR遮罩將該介電層加以蝕刻,該PR遮罩具有藉由提供該複數循環而被縮減之該CD。
  16. 如申請專利範圍第12項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該沉積階段更於該遮罩特徵部之底部上沉積該沉積層,其中該成形階段更自該遮罩特徵部之該底部及該側壁移除該沉積層而不蝕刻其下之該介電層,俾能削減該側壁,及其中該方法更包含:利用藉由提供該複數循環而被削減之該PR遮罩將該介電層加以蝕刻。
  17. 如申請專利範圍第16項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該成形階段相對於該遮罩特徵部之密集區域而在孤立區域選擇性地回蝕該遮罩特徵部之側壁。
  18. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中一抗反射層(ARL)形成於該介電層之上、該圖案化PR遮 罩之下,及其中在每個循環中,該成形階段首先在該遮罩特徵部之底部蝕刻該ARL並削減該PR遮罩,而該沉積階段於該PR遮罩上沉積該沉積層,且更在該遮罩特徵部之該底部蝕刻該ARL。
  19. 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕刻特徵部的方法,其中該PR遮罩預處理步驟降低該PR遮罩之起泡作用以及在隨後之該沉積階段與成形階段之複數循環期間所造成之微粒污染。
  20. 一種透過光阻(PR)遮罩在介電層蝕刻特徵部的設備,利用波長不超過193nm之雷射光將該光阻遮罩加以圖案化,該設備包含:一電漿處理室,包含:一室壁,形成一電漿處理室外殼;一基板支座,支承該電漿處理室外殼內之一基板;一壓力調節器,用以調節該電漿處理室外殼內之壓力;至少一電極,用以提供電力至該電漿處理室外殼,以維持一電漿;一氣體入口,用以提供氣體至該電漿處理室外殼;及一氣體出口,用以從該電漿處理室外殼排出氣體;一氣體源,與該氣體入口流體連通,該氣體源包含:一蝕刻氣體源;一沉積階段氣體源;一成形階段氣體源;及一預處理氣體源;及一控制器,以可控制之方式連結至該氣體源以及該至少一電極,包含:至少一處理器;及非暫時性電腦可讀媒體,包含:利用惰性氣體電漿將該PR遮罩預處理之電腦可讀碼, 包含:從該預處理氣體源提供惰性氣體之電腦可讀碼;從該惰性氣體形成電漿之電腦可讀碼;及停止該惰性氣體流之電腦可讀碼;及提供複數循環之電腦可讀碼,每個循環包含一沉積階段及一成形階段,該電腦可讀碼包含:從該沉積階段氣體源提供沉積階段氣體之電腦可讀碼;從該沉積階段氣體形成電漿之電腦可讀碼,俾能於該PR遮罩上方沉積一沉積層,該沉積層覆蓋PR遮罩之遮罩特徵部之頂部及側壁,其中來自該沉積階段氣體之該電漿亦在該介電層蝕刻特徵部,且更於被蝕刻之該特徵部之側壁上沉積該沉積層;停止該沉積階段氣體流之電腦可讀碼;從該成形階段氣體源提供成形階段氣體之電腦可讀碼;從該成形階段氣體形成電漿之電腦可讀碼,俾能將沉積於該PR遮罩上方之該沉積層加以塑形,其中來自該成形階段氣體之該電漿將該沉積層移除;及停止該成形階段氣體流之電腦可讀碼。
TW098115837A 2008-05-13 2009-05-13 包含光阻遮罩預處理之電漿製程 TWI496212B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/120,059 US8277670B2 (en) 2008-05-13 2008-05-13 Plasma process with photoresist mask pretreatment

Publications (2)

Publication Number Publication Date
TW200952071A TW200952071A (en) 2009-12-16
TWI496212B true TWI496212B (zh) 2015-08-11

Family

ID=41316586

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098115837A TWI496212B (zh) 2008-05-13 2009-05-13 包含光阻遮罩預處理之電漿製程

Country Status (5)

Country Link
US (1) US8277670B2 (zh)
KR (1) KR101555397B1 (zh)
CN (1) CN102027578B (zh)
TW (1) TWI496212B (zh)
WO (1) WO2009140139A2 (zh)

Families Citing this family (510)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
CN102459704B (zh) * 2009-06-03 2014-08-20 应用材料公司 用于蚀刻的方法和设备
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102117737B (zh) * 2009-12-30 2015-01-07 中国科学院微电子研究所 减小半导体器件中ler的方法及半导体器件
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5589692B2 (ja) * 2010-09-08 2014-09-17 富士通セミコンダクター株式会社 半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9082719B2 (en) * 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9142417B2 (en) 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP2015079793A (ja) * 2013-10-15 2015-04-23 東京エレクトロン株式会社 プラズマ処理方法
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
GB201322931D0 (en) * 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6331452B2 (ja) 2014-02-19 2018-05-30 愛知製鋼株式会社 有機膜のエッチング方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105355538A (zh) * 2014-08-21 2016-02-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20180113585A (ko) * 2016-03-04 2018-10-16 도쿄엘렉트론가부시키가이샤 통합 계획의 다양한 스테이지 동안의 패터닝을 위한 트림 방법
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
CN107611026B (zh) * 2016-07-11 2020-10-13 北京北方华创微电子装备有限公司 一种深硅刻蚀工艺
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10438797B2 (en) * 2016-09-06 2019-10-08 Tokyo Electron Limited Method of quasi atomic layer etching
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
CN108417583B (zh) 2018-03-09 2021-10-29 惠科股份有限公司 一种阵列基板的制造方法和阵列基板
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111954929A (zh) * 2018-04-03 2020-11-17 朗姆研究公司 原位反面膜图案化
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043381B2 (en) * 2019-01-27 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Directional patterning method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020209939A1 (en) * 2019-04-08 2020-10-15 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
JP7493400B2 (ja) * 2019-09-13 2024-05-31 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム
US11476123B2 (en) * 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20070111110A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ plasma treatment of advanced resists in fine pattern definition
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US20080083502A1 (en) * 2006-10-10 2008-04-10 Lam Research Corporation De-fluoridation process

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4253888A (en) * 1978-06-16 1981-03-03 Matsushita Electric Industrial Co., Ltd. Pretreatment of photoresist masking layers resulting in higher temperature device processing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7427458B2 (en) 2005-06-30 2008-09-23 Lam Research Corporation System and method for critical dimension reduction and pitch reduction
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US8753804B2 (en) * 2008-03-11 2014-06-17 Lam Research Corporation Line width roughness improvement with noble gas plasma

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20070111110A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ plasma treatment of advanced resists in fine pattern definition
US20070181530A1 (en) * 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US20080083502A1 (en) * 2006-10-10 2008-04-10 Lam Research Corporation De-fluoridation process

Also Published As

Publication number Publication date
TW200952071A (en) 2009-12-16
US8277670B2 (en) 2012-10-02
WO2009140139A8 (en) 2010-12-09
WO2009140139A3 (en) 2010-02-25
WO2009140139A2 (en) 2009-11-19
CN102027578A (zh) 2011-04-20
US20090286400A1 (en) 2009-11-19
KR101555397B1 (ko) 2015-09-23
CN102027578B (zh) 2013-03-20
KR20110014989A (ko) 2011-02-14

Similar Documents

Publication Publication Date Title
TWI496212B (zh) 包含光阻遮罩預處理之電漿製程
KR101534883B1 (ko) 마스크 트리밍
KR101353239B1 (ko) 피치 감소
TWI353019B (en) Method of preventing damage to porous low-k materi
US7785484B2 (en) Mask trimming with ARL etch
KR101338841B1 (ko) 에칭 프로세스를 위한 안정화된 포토레지스트 구조
TWI357094B (en) Reduction of feature critical dimensions
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
WO2006065630A2 (en) Reduction of etch mask feature critical dimensions
TWI467654B (zh) 在多孔low-k介電層中形成特徵部的方法及設備
TWI545648B (zh) 擬硬遮罩用之擺動控制
JP4791964B2 (ja) ランピングによるエッチング方法及び装置
JP2009500811A (ja) クリティカルディメンション低減およびラフネス抑制
WO2006028673A1 (en) Etch with uniformity control
TWI496196B (zh) 植入光阻之保護層
JP2007503728A (ja) 改良されたバイレイヤフォトレジストパターンを提供する方法
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees