TWI467654B - 在多孔low-k介電層中形成特徵部的方法及設備 - Google Patents
在多孔low-k介電層中形成特徵部的方法及設備 Download PDFInfo
- Publication number
- TWI467654B TWI467654B TW98103209A TW98103209A TWI467654B TW I467654 B TWI467654 B TW I467654B TW 98103209 A TW98103209 A TW 98103209A TW 98103209 A TW98103209 A TW 98103209A TW I467654 B TWI467654 B TW I467654B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- porous low
- forming
- cos
- stripping
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 59
- 229920002120 photoresistant polymer Polymers 0.000 claims description 40
- 238000005530 etching Methods 0.000 claims description 27
- 238000012545 processing Methods 0.000 claims description 27
- 230000008569 process Effects 0.000 claims description 26
- 239000006117 anti-reflective coating Substances 0.000 claims description 21
- 239000000463 material Substances 0.000 claims description 20
- 239000000758 substrate Substances 0.000 claims description 16
- 238000000059 patterning Methods 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 7
- 230000000873 masking effect Effects 0.000 claims description 3
- 230000003647 oxidation Effects 0.000 claims 1
- 238000007254 oxidation reaction Methods 0.000 claims 1
- 239000010410 layer Substances 0.000 description 120
- 239000007789 gas Substances 0.000 description 65
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910000420 cerium oxide Inorganic materials 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910020286 SiOxNy Inorganic materials 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical group [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000002041 carbon nanotube Substances 0.000 description 1
- 229910021393 carbon nanotube Inorganic materials 0.000 description 1
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 1
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000000254 damaging effect Effects 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000008054 signal transmission Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- SITVSCPRJNYAGV-UHFFFAOYSA-L tellurite Chemical compound [O-][Te]([O-])=O SITVSCPRJNYAGV-UHFFFAOYSA-L 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
本發明係關於以半導體為基之裝置的製造,尤有關於製造具low-k介電層之以半導體為基之裝置的改善技術。
在以半導體為基之裝置(如積體電路或平面顯示器)製造中,雙鑲嵌結構(dual damascene structure)可與銅質導電材料結合使用,以降低先前世代之技術中訊號於鋁質材料傳輸時相關的RC延遲。在雙鑲嵌結構中,於介電材料中蝕刻出介層孔與溝槽且以銅填之(稱之為『金屬化法』)而非蝕刻導電材料。可用化學機械研磨(CMP)移除過剩的銅,留下介層孔所連接的的銅線用以訊號傳輸。為進一步降低RC延遲,可使用多孔低介電常數(low-k)材料。在本具體說明與專利請求項中,low-k係定義為k<3.0。
多孔低介電常數材料可包括有機矽酸鹽玻璃(organo-silicate glass,OSG)材料,該材料也可稱為摻碳矽酸鹽(carbon-doped silicates)。OSG材料可為摻雜如甲基之有機成份的二氧化矽。OSG材料具有二氧化矽晶格中所加入的碳與氫原子,該等原子可降低材料的介電常數。然而,當OSG材料裸露於O2
,H2
,N2
,及NH3
氣體(該等氣體在剝除電漿中係用以剝除光阻材料或氟素)時,易受到損害。據信自low-k介電質中移除碳可能引起如此的損害,而增大介電常數及使材料更親水以致保有濕氣。濕氣的保留會引起金屬障壁黏著問題或可能引起其它障壁問題。
與非多孔(緻密)材料相比,此剝除電漿的損害效應可深入多孔材料。由於裸露於用以剝除遮層與/或側壁之電漿而移除有機成份,多孔OSG材料(k<~2.5)可能會易受損害。例如,光阻灰化(PR ashing)或剝除處理係可能對多孔low-k介電層引起最大損害的處理步驟之一,其中光阻材料的剝除係於電漿系統下發生。此電漿可擴散進入多孔OSG層的孔隙中,且在OSG層中引起達300nm深的損害。電漿所引起的部份損害係自損害區移除碳與氫,而使OSG更像二氧化矽,如此將具有更大的介電常數。可用傅立葉轉換紅外光譜儀(FTIR)量測該OSG層之SiC/SiO比例中的變化而使損害定量。對於典型溝槽蝕刻的應用,無法接受溝槽側壁中超過3-5nm之OSG的改變。
希望於剝除處理期間降低對於low-k(k<3.0)介電層的損害。
為達成前述與其它目標及依據本發明的目的,提供一種在多孔low-k介電層中形成特徵部的方法,該介電層係設置於圖型化有機遮層之下。經由此圖型化有機遮層在多孔low-k介電層中蝕刻出特徵部,接著剝除此圖型化有機遮層。此圖型化有機遮層的剝除包括提供含COS的剝除氣體、由此剝除氣體形成電漿,接著停止此剝除氣體。在本發明的實施態樣中,在多孔low-k介電層與圖型化有機遮層之間設置蓋層。剝除圖型化有機遮層時將此蓋層留在多孔low-k介電層上。
在本發明的另一操作中,提供在多孔low-k介電層中形成特徵部的設備,該介電層係設置於圖型化有機遮層之下。此設備包括電漿處理腔。此電漿處理腔包括形成電漿處理腔外殼的腔壁、用以在電漿處理腔外殼內支撐基板的基板支撐物、用以調節電漿處理腔外殼中之氣壓的氣壓調節器、用以對電漿處理腔外殼提供電源而維持電漿的至少一電極、與此至少一電極電氣相連的至少一RF電源、用以將氣體通入電漿處理腔外殼內的進氣口,與用以自電漿處理腔外殼內排除氣體的排氣口。此設備更包括與進氣口流體相連的氣體源。此氣體源包括多孔low-k介電質蝕刻氣體源與剝除氣體源,該剝除氣體源包括COS氣源與至少一另外的氣源。一控制器係與氣體源及至少一RF電源可控地相連。此控制器包括至少一處理器與電腦可讀媒體。此電腦可讀媒體包括(a)用以經由圖型化有機遮層在多孔low-k介電層中蝕刻出特徵部的電腦可讀碼,與(b)用以剝除此圖型化有機遮層的電腦可讀碼。此用以剝除此圖型化有機遮層的電腦可讀碼包括用以提供含COS之剝除氣體的電腦可讀碼、用以由此剝除氣體形成電漿的電腦可讀碼,及用以停止此剝除氣體的電腦可讀碼。
將由下述之本發明的詳細描述與隨附之圖式而更詳盡地描述本發明的這些與其它特徵。
將參照本文中如隨附圖式所說明的幾個較佳實施例而詳細地描述本發明。於下述的描述中,為提供本發明之徹底了解而闡明眾多的具體描述。然而,對於熟悉本技藝者,明顯的是,不用這些特定細節的部分或全部即可實行本發明。在其它例子中,為了避免非必要地搞混本發明而沒有詳盡地描述眾所皆知的處理步驟與/或結構。
在不受理論的約束下,據信因為剝除係移除有機光阻材料,且此處理往往也自low-k介電材料中將碳移除,故大部分對於low-k介電層之損害會發生在剝除期間。此外,據信由於溝槽彼此間係很靠近地分隔著且具有大量的電容量,故因溝槽剝除所產生之損害較介層孔剝除更為顯著。也據信在小特徵部內如此的損害較大特徵部內的損害問題更大。也據信在溝槽之側壁上如此的損害較溝槽之底部的損害問題更大。
為便於討論,圖1A-1B係含多孔low-k介電層之層疊的蝕刻處理流程圖,該介電層係用於本發明的實施例中。理想的是,此多孔low-k介電層為有機矽酸鹽玻璃(OSG)材料。圖2A-2F係依據圖1A-1B之處理的層疊的圖解橫剖面視圖。圖2A係依據本發明之一實施例之半導體基板202上所形成的層疊200範例的概略橫剖面視圖。如圖2A所示,層疊200包括於抗反射塗佈(ARC)層206上、於如低溫氧化層(LTO)208的氧化層上,及於有機平坦化層210上所形成的圖型化光阻(PR)遮層204。有機平坦化層210係形成於圖案化多孔low-k介電層212上。圖案化多孔low-k介電層212可具有其上所設置的蓋層214。圖案化多孔low-k介電層212係形成於基板202上的蝕刻終止層216之上。基板202可具有金屬層218,如金屬接觸層或金屬溝槽層。
可依據本發明之一實施例以下述處理步驟形成層疊200。如圖1A所示,於半導體基板202上形成如氮化碳矽(SiCN)的蝕刻終止層216(步驟103)。蝕刻終止層216可具有約25nm的厚度。基板202可為矽晶圓或其它形式的材料,或可為晶圓上的部份層。此基板可具有下伏的金屬層218,該金屬層可為雙鑲嵌內連線結構的一部分。於蝕刻終止層216上配置多孔low-k介電層212(步驟105)。多孔low-k介電層212可由OSG材料所製,且具有約270nm的厚度。於多孔low-k介電層212上沉積非必需的蓋層214(步驟107)。通常,此蓋層係底下介電材料層的保護層。蓋層214在化學機械研磨與其它處理期間保護多孔low-k介電層212。由於蓋層214可為最終產物的一部分,故此蓋層可為low-k介電質。理想的是,此蓋層係由四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)、氧化矽或碳化矽材料所形成。蓋層214可具有約60nm的厚度。在其它實施例中,可超過一層蓋層或不用蓋層。
使用習知微影處理所提供的第一PR遮層(未顯示)使多孔low-k介電層212與蓋層214一起圖型化,從而具有如介層孔的第一特徵部220(步驟109)。例如,可舖設光阻層、裸露於光圖像(light pattern),接著再蝕刻而形成該第一PR遮層。在多孔low-k介電層212中蝕刻出第一特徵部220與剝除剩餘的第一PR遮層之後,於圖案化多孔low-k介電層212上的圖案化蓋層214之上沉積有機平坦化層210,從而填滿第一特徵部220且形成平坦表面(步驟113)。有機平坦化層210係由類似光阻的材料所製,如加州桑尼維爾(Sunnyvale)之JSR Micro,Inc.所提供的NFC。此外,也可使用其它的材料,如旋塗式碳(Spin-On Carbon,SOC)、由Shipley Co. Inc. 、Marlborough、MA、TOK、Japan或JSR Micro,Inc.所提供的旋塗式硬遮層(Spin-On Hardmask,SOH,)等等。有機平坦化層210可具有約300nm的厚度。於有機平坦化層210上沉積LTO層208(步驟115),例如可用低壓化學氣相沈積法(LPCVD)以約100℃的沉積溫度行之,該溫度低於習知SiO2
沉積處理中超過800℃的典型沉積溫度。LTO層208可具有約80nm的厚度。LTO層208可作為底下有機平坦化層210的硬式遮層。於LTO層208上沉積ARC層206(步驟117),例如使用電漿加強式化學氣相沉積法(PECVD)行之。ARC層206可為由SiOxNy(如SiON)所形成具有約80nm厚度的底部抗反射塗層(BARC)。於ARC層206上形成光阻層,且使用習知微影處理將該光阻層圖案化成第二PR遮層204(步驟119),例如可舖設一光阻層、裸露於光圖像,接著再蝕刻而行之。也可使用其它形成圖型化光阻遮層的方法。第二PR遮層204可具有約170nm的厚度,且具有第二遮層特徵部以蝕刻/圖型化有機平坦化層210。圖2A顯示提供圖型化第二PR遮層204之後的層疊200。
如圖1B所示,將帶有層疊200的基板202置於蝕刻腔用以介電質蝕刻(步驟121)。經由第二PR遮層204先行開通ARC層206與LTO層208(步驟123)。例如,電漿乾式蝕刻可使用包括CF4
與O2
的化學劑,用以開通ARC層206與LTO層208。圖2B係ARC/LTO層開通後層疊200範例的圖解橫剖面視圖。如圖2B所示,可在ARC/LTO層開通期間移除若干第二PR遮層204。
接著,使用第二PR遮層204經由ARC層206與LTO層208的開口而蝕刻/圖型化有機平坦化層210(步驟125)。以CO2
為基之化學劑可用於此有機平坦化層210的蝕刻/圖型化。圖2C圖解地說明平坦化層蝕刻後層疊200的橫剖面視圖。如圖2C所示,使有機平坦化層210圖型化成有機光阻遮層222用以後續low-k介電質蝕刻。於蝕刻/圖型化步驟125期間移除第二PR遮層204與ARC層206,但留存LTO層208(硬式遮層)在圖型化有機遮層222的頂端。蓋層214於蝕刻/圖型化步驟125期間保護底下的多孔low-k介電層212,且也作為蝕刻終止層。
接著,經由已開通的LTO層208(硬式遮層)與圖型化有機遮層222於多孔low-k介電層212中蝕刻出第二特徵部(步驟127)。如此的low-k介電質蝕刻處理可使用以氟為基的蝕刻劑。例如此蝕刻處理可使用CF4
,或下列源氣的混合物:CF4
/N2
或C4
F8
/N2
。圖2D圖解地說明多孔low-k介電層蝕刻步驟127後層疊200的橫剖面視圖。如圖2D所示,藉由多孔low-k介電層蝕刻步驟127移除LTO層208。
在完成多孔low-k介電層212的蝕刻後,剝除圖型化有機遮層222(步驟130)。圖3係剝除處理130之詳細的流程圖。在剝除處理130中,於蝕刻腔中通入含COS的剝除氣體(步驟133)。由此含COS的剝除氣體形成電漿(步驟135),其中電漿係用以剝除圖型化有機遮層222,接著停止剝除氣體(步驟137)。依據本發明的一實施例,此剝除氣體包括COS、O2
與CO;COS與O2
;或COS與N2
。圖2E圖解地說明剝除圖型化有機遮層222後層疊200的橫剖面視圖。如圖2E所示,蓋層214留存在多孔low-k介電層212的頂端。
在本發明的若干實施例中,如圖2F所示,在剝除圖型化有機遮層222之後,可開通蝕刻停止層216而裸露金屬層218。
圖4係電漿處理腔400概略視圖,該腔可用於在原處開通ARC/LTO層、蝕刻/圖型化有機平坦層、在多孔low-k介電層中蝕刻出特徵部,接著剝除有機遮層。另外,也可在原處執行蝕刻停止層的開通。電漿處理腔400包括圍束環402、上電極404、下電極408、氣體源410,與排氣泵浦420。氣體源410包括用以開通ARC/LTO層的開通氣體源412、用以蝕刻/圖型化有機平坦層的蝕刻/圖型化氣體源414、用以在多孔low-k介電層中蝕刻出特徵部的介電質蝕刻氣體源416,與用以剝除有機遮層的剝除氣體源418。氣體源410可包括額外的氣體源(未顯示)。於電漿處理腔400內,基板202係置於下電極408之上。下電極408包含用以持有基板202的適當基板夾持機制(例如靜電、機械夾合,或類似物)。反應器頂部428包含上電極404,該電極直接地設置於下電極408的對側。上電極404、下電極408,與圍束環402定義所限的電漿容積440。氣體源410供應氣體至所限的電漿容積440,且排氣泵浦420經圍束環402與排氣口自所限的電漿容積440中將氣體排除。第一RF電源444與上電極404係電氣連接。第二RF電源448與下電極408係電氣連接。腔壁452包圍圍束環402、上電極404,與下電極408。第一RF電源444與第二RF電源448兩者可包括27MHz的電源、60MHz的電源,與2MHz的電源。不同頻率的RF電源與上下電極的連接可有不同的組合。控制器435與第一RF電源444、第二RF電源448、排氣泵浦420,及氣體源410係可控地相連。控制器435能夠控制各種氣體的流速。就加州佛利蒙(Fremont)的Lam Research Corporation所製造之如Exelan系列的介電質蝕刻系統(該系統可用於本發明之較佳實施例)而言,60MHz、27MHz,與2MHz的電源構成與下電極相連的第二RF電源448,而上電極則為接地。
圖5A與5B說明電腦系統500,其係適於執行本發明之實施例中所使用的控制器435。圖5A顯示此電腦系統的一種可能實體型式。當然,此電腦系統可具有從積體電路、印刷電路板、小型手提裝置到大型超級電腦不等的許多實體型式。電腦系統500包括監視器502、顯示器504、外罩506、磁碟驅動器508、鍵盤510,與滑鼠512。磁碟514係一電腦可讀媒體,用以移轉資料至電腦系統500且從中移轉出資料。
圖5B係電腦系統500之方塊圖例子。裝配於系統匯流排520的係各種各樣的子系統。處理器522(也稱為中央處理單元,或CPUs)與儲存裝置相連接,該裝置包括記憶體524。記憶體524包括隨機存取存儲器(RAM)與唯讀記憶體(ROM)。如在本技藝中眾所皆知的,ROM作為單向地轉移資料與指令至CPU,而RAM通常用以雙向地轉移資料與指令。這兩種形式的記憶體可包括下文所述任何適當的電腦可讀媒體。固定磁碟526也與CPU 522雙向地相連接,該固定磁碟提供額外的資料儲存容量且也包括下文所述任何的電腦可讀媒體。固定磁碟526可用以儲存程式、資料等等,且通常為運作慢於主儲存媒體的第二儲存媒體(例如硬碟)。應理解到,在合適的情形下,可以標準型式將固定磁碟526內所保留的資訊併作為記憶體524中的虛擬記憶。可移式磁碟514可使用下文所述任何的電腦可讀媒體之形式。
CPU 522也與多種的輸入/輸出裝置相連接,例如顯示器504、鍵盤510,滑鼠512,與揚聲器530。一般而言,輸入/輸出裝置可為下述之任一個:影像顯示器、軌跡球、滑鼠、鍵盤、麥克風、指觸顯示器(touch-sensitive displays)、感應卡閱讀機(transducer card reader)、磁帶或紙帶閱讀機、輸入板、唱針(stylus)、聲音或手寫辨識器、生物識別閱讀器(biomertics reader),或其他計算機。CPU 522可任意地使用網路間介面540而與其他電腦或電信網路相連接。有了如此的網路間介面,可預期到在執行上述方法步驟期間CPU可收到來自網路的資訊,或可輸出資訊至網路。此外,本發明之方法實施例可單獨靠CPU 522而執行,或可透過網路(如結合分攤部份處理之遠端CPU的網際網路)而執行。
此外,本發明之實施例更關於帶有電腦可讀媒體的電腦儲存產品,該電腦可讀媒體其中具有用以執行各式電腦實施操作的電腦碼。此媒體及電腦碼可係為本發明之目的所特別設計或建造之物,或可為眾所皆知且為熟悉電腦軟體技藝者所用之物。電腦可讀媒體的例子包括磁性媒體(如硬碟、軟碟,與磁帶)、光學媒體(如CD-ROMs與全像裝置(holographic device))、磁光媒體(如磁軟光碟(flotical disk)),與持別用以儲存與執行程式碼的硬體裝置(如特殊應用積體電路(application-specific integrated circuits,ASICs)、可編程邏輯裝置(programmable logic devices,PLDs),與ROM及RAM裝置),但非限於上述。電腦碼的例子可包括如編譯程式所產生的機械碼與包含高階碼的檔案,可使用解譯器而以電腦執行該等碼。電腦可讀媒體也可為藉由電腦資料訊號所傳輸的電腦碼,此電腦資料訊號係體現於載波且表達出處理器所執行的指令序列。
在不受理論的約束下,據信氧化剝除處理相較於還原剝除處理提供更快且更完全的剝除。此外,一般相信氧自由基為OSG損害的唯一來源。吾人已發現受激的O2
分子與介電質損害之間的相互關係。此損害對於k值<~2.5的low-k介電材料格外顯著。吾人已使用若干替代氣體,其中提供CO2
或N2
O代替O2
作為氧源,且顯示部分地降低low-k介電質損害。其它如O2
/N2
、CO/O2
,或N2
/O2
/CO的組合物也顯示較使用O2
有若干改善。然而。那些氣體或其組合物均無法如添加COS般提供大幅度改善。吾人意外地發現使用COS氣體作為習知含O2
之PR剝除氣體的添加劑可在剝除處理期間大幅度減少low-k介電質傷害。此外,據信在以N2
與/或H2
為基之剝除氣體中添加COS氣體也可降低low-k介電質傷害。
例如,相較於無COS之習知剝除氣體,當在此習知剝除氣體中添加COS時,可降低約40至50%的low-k介電質傷害。據信本發明的處理能提供一種方法,用以在含有敏感的多孔low-k介電質材料之情況下剝除光阻或有機遮層材料,且對此多孔low-k介電質材料產生最小的損害。
依據本發明的一實施例,此蝕刻腔係Exelan 2300,且在主要low-k介電質蝕刻後執行剝除處理。可在30毫托耳(mTorr)的壓力下使用含200sccm CF4
蝕刻氣體進行如此的low-k介電質蝕刻。該蝕刻腔可提供60MHz的600瓦(watt)功率與2Mhz的300瓦功率。引用習知剝除處理作為參考,該處理可在蝕刻腔中15mTorr的低壓下使用40sccm CO與90sccm O2
的剝除氣體。該蝕刻腔中提供27Mhz的400瓦功率。引用圖6A作為參考,該圖圖解地說明在習知剝除處理後多孔low-k介電特徵部於緻密區230(也顯示於圖2E)的橫剖面。使用稀釋氫氟酸(HF)槽法量測對此多孔low-k介電特徵部之側壁的損害。此氫氟酸槽移除孔low-k介電質的受損部分,俾使以此多孔low-k介電特徵部的凹陷計量此損害。如圖6A所示,可以蓋層214的關鍵尺寸(CD1)與low-k介電層212特徵部的關鍵尺寸(CD2)之間的差異計量每邊側壁的凹陷,即(CD1-CD2)/2。使用如上文所討論的習知CO/O2
剝除處理,側壁的凹陷約為6.7至8.5nm(平均為7.6NM)。同樣地,另一習知O2
剝除處理導致約5.5至6.2nm(平均為5.85nm)的側壁凹陷,當中此處理係60MHz之200瓦功率與27MHz之200瓦功率時在10mTorr的低壓下使用130sccm O2
的剝除氣體。
圖6B圖解地說明依據本發明的實施例之剝除處理後,low-k介電特徵部在緻密區230的橫剖面視圖。在一範例中,將COS添至習知CO/O2
氣體中,且於蝕刻腔中在15mTorr之低壓下提供9sccm COS、40sccm CO,與82sccm O2
的剝除氣體。對該蝕刻腔提供27MHz的400瓦功率。所量得的側壁損害約為4.2至4.6nm(平均為4.4nm)。在另一範例中,於蝕刻腔中在15mTorr之低壓下提供15sccm COS、40sccm CO,與75sccm O2
的剝除氣體。對該蝕刻腔提供27MHz的400瓦功率。所量得的側壁損害約為4.9至5.1nm(平均為5nm)。在更另一範例中,將COS添至習知O2
氣體中,且於蝕刻腔中在10mTorr之低壓下提供10sccm COS與100sccm O2
的剝除氣體。對該蝕刻腔提供60MHz的200瓦功率及27MHz的200瓦功率。所量得的側壁損害約為2.8至2.9nm(平均為2.85nm)。於任一情形下,可於晶圓之邊緣部分觀察到更大幅度改善。
因此,依據本發明的實施例,相較於習知CO/O2
剝除,添加COS可降低約40%的損害。同樣地,相較於習知O2
剝除,添加COS可降低約50%的損害。
前述的範例係本發明之一實施例的代表,其中使用一蝕刻組態執行剝除處理,俾使晶圓直接裸露於含帶電粒子之電漿的影響下。在若干實施例中,將對此晶圓施加偏壓RF功率。在不受理論的約束下,據信此偏壓功率可增加離子轟擊能量,從而增大光阻移除速率及殘留物移除的效率。針對本發明的此實施例,處理條件係定義如下。
理想的是,剝除氣體包括COS,俾使COS的流量比約為總流量的1至50%。更理想的是,COS的流量比約為總流量的2至25%,最理想的是,COS的流量比約為總流量的5至15%。另外,O2
與COS的比例可約為5:1至20:1,理想的是8:1至15:1,且最理想的是13:1。
含COS之剝除氣體混合物的範例可為下述氣體的混合物:COS+O2
、COS+O2
+CO2
、COS+O2
+CO、COS+O2
+N2
、COS+O2
+N2
+CO、COS+CO2
、COS+N2
、COS+H2
、COS+N2
O,或相似物。也可添加各種惰性氣體而與這些混合物混合。
理想的是,於1與40mTorr之間的腔壓執行本發明的剝除處理。更理想的是,於1與30mTorr之間的腔壓執行本發明的剝除處理。最理想的是,於5與20mTorr之間的腔壓執行本發明的剝除處理。
理想的是,每一電源以10與2000瓦之間的輸入功率執行本發明的剝除處理。更理想的是,以50與1200瓦之間的輸入功率執行本發明的剝除處理。最理想的是,以100與1000瓦之間的輸入功率執行本發明的剝除處理。
雖然已按照數個較佳實施例而敘述本發明,在不離開本發明之範圍內,當可做替換、修正、交換及各式取代的等價動作。也應注意到,有許多替換方法可執行本發明之方法與設備。因此有意將下列附加的專利請求項解釋為在不離開本發明之精神與範圍內,包括所有替換、修正、交換及各式取代的等價動作。
130...剝除處理
200...層疊
202...基板
204...光阻遮層/第二PR遮層
206...抗反射塗佈層/ARC層
208...低溫氧化層/LTO層
210...有機平坦化層
212...多孔low-k介電層
214...蓋層
216...蝕刻終止層
218...金屬層
220...第一特徵
222...有機(光阻)遮層
230...緻密區
400...電漿處理腔
402...圍束環
404...上電極
408...下電極
410...氣體源
412...開通氣體源
414...蝕刻/圖型化氣體源
416...介電質蝕刻氣體源
418...剝除氣體源
420...排氣泵浦
428...反應器頂部
435...控制器
440...電漿容積
444...第一RF電源
448...第二RF電源
452...腔壁
500...電腦系統
502...監視器
504...顯示器
506...外罩
508...磁碟驅動器
510...鍵盤
512...滑鼠
514...可移式磁碟
520...系統匯流排
522...處理器/CPU
524...記憶體
526...固定磁碟
530...揚聲器
540...網路間介面
CD1...關鍵尺寸
CD2...關鍵尺寸
本發明係藉由隨附圖示之圖表中的例子說明且不限於此,而圖中相同參照數字代表相似的元件,其中圖式為:
圖1A-1B係依據本發明之一實施例含多孔low-k介電層之層疊的蝕刻處理流程圖。
圖2A-2F係依據圖1A-1B之處理的含多孔low-k介電層之層疊概略橫剖面視圖。
圖3係依據本發明之一實施例之剝除處理的詳細流程圖。
圖4係可用於本發明之實施例中的處理腔之概略視圖。
圖5A-5B係可用作為控制器之電腦系統的概略視圖。
圖6A係在習知剝除處理後,多孔low-k介電層特徵部在緻密區的概略橫剖面。
圖6B係依據本發明之一實施例在剝除處理後,多孔low-k介電層特徵部在緻密區的概略橫剖面。
130...剝除處理
133...提供含COS的剝除氣體
135...由剝除氣體產生電漿
137...停止剝除氣體
Claims (23)
- 一種在一多孔low-k介電層中形成特徵部的方法,該多孔low-k介電層設於一圖型化有機光阻遮層之下,該圖型化有機光阻遮層係由一光阻材料形成,該方法包括:(a)經由該圖型化有機光阻遮層在該多孔low-k介電層中蝕刻出特徵部;及(b)在蝕刻出該特徵部之後,剝除該圖型化有機光阻遮層,包括:提供含COS的一剝除氣體;由該剝除氣體形成一電漿;及停止該剝除氣體。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中在該多孔low-k介電層與該圖型化有機光阻遮層之間設置一蓋層,及剝除該圖型化有機光阻遮層之步驟使該蓋層留在該多孔low-k介電層上。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,在於該多孔low-k介電層中蝕刻出特徵部的步驟之前,更包括:在一基板上沈積該多孔low-k介電層;在該多孔low-k介電層上設置一第一圖型化光阻(PR)遮層;經由該第一圖型化PR遮層使該多孔low-k介電層圖型化;在該圖型化多孔low-k介電層上設置一有機平坦層;及使用一第二圖型化PR遮層將該有機平坦層蝕刻成該圖型化有機光阻遮層。
- 如申請專利範圍的第3項之在一多孔low-k介電層中形成特徵部的方法,更包括:在設置該第一圖型化PR遮層前於多孔low-k介電層上形成一蓋層,使用該第一圖型化PR遮層於使該多孔low-k介電層圖型化的步驟中使該蓋層圖型化; 其中剝除該圖型化有機光阻遮層之步驟使該蓋層留在該多孔low-k介電層上。
- 如申請專利範圍的第3項之在一多孔low-k介電層中形成特徵部的方法,在設置該有機平坦層的步驟之後,更包括:在該有機平坦層上沈積一氧化層;在該氧化層上沈積一抗反射塗佈(ARC)層;在該ARC層上設置該第二圖型化PR遮層;及經由該第二圖型化PR遮層開通該ARC層與該氧化層,其中經由該第二圖型化PR遮層、該已開通的ARC層,與該已開通的氧化層蝕刻該有機平坦層。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除氣體更包括O2 、N2 、H2 、CO2 ,或N2 O中至少一者。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除氣體更包括O2 。
- 如申請專利範圍的第7項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除氣體更包括CO或N2 。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除氣體基本上由O2 與COS組成。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中COS的流量比約為該剝除氣體之總流量的1至50%。
- 如申請專利範圍的第10項之在一多孔low-k介電層中形成特徵部的方法,其中COS的流量比約為該剝除氣體之總流量的2至25%。
- 如申請專利範圍的第11項之在一多孔low-k介電層中形成特徵部的方法,其中COS的流量比約為該剝除氣體之總流量的5至15%。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除氣體移除該有機光阻遮層的同時降低對 具有該蝕刻出的特徵部之該low-k介電層的損害。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中相較於使用缺乏COS並含CO、CO2 、N2 、或N2 O以取代COS的剝除氣體來降低損害的情況,含COS的該剝除氣體更進一步降低對具有已蝕刻出之該特徵部的該low-k介電層之損害。
- 如申請專利範圍的第1項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除步驟係於5與20mTorr之間的腔壓力下執行。
- 一種在一多孔low-k介電層中形成特徵部的方法,該多孔low-k介電層設於一圖型化有機光阻遮層之下,該圖型化有機光阻遮層係由一光阻材料形成,該方法包括:(a)經由該圖型化有機光阻遮層在該多孔low-k介電層中蝕刻出特徵部;及(b)在蝕刻出該特徵部之後,剝除該圖型化有機光阻遮層,包括:提供含COS及O2 的一剝除氣體;由該剝除氣體形成一電漿;及停止該剝除氣體,其中O2 與COS之比例約為5:1至20:1。
- 如申請專利範圍的第16項之在一多孔low-k介電層中形成特徵部的方法,其中O2 與COS之比例約為8:1至15:1。
- 如申請專利範圍的第17項之在一多孔low-k介電層中形成特徵部的方法,其中O2 與COS之比例約為13:1。
- 如申請專利範圍的第16項之在一多孔low-k介電層中形成特徵部的方法,其中相較於使用缺乏COS並含CO、CO2 、N2 、或N2 O以取代COS的剝除氣體來降低損害的情況,含COS的該剝除氣體更進一步降低對具有已蝕刻出之該特徵部的該low-k介電層之損害。
- 如申請專利範圍的第16項之在一多孔low-k介電層中形成特徵部的方法,其中該剝除步驟係於5與20mTorr之間的腔壓 力下執行。
- 一種在一多孔low-k介電層中形成特徵部的設備,該多孔low-k介電層設於一圖型化有機光阻遮層之下,該設備包括:一電漿處理腔,包括:一腔壁,形成一電漿處理腔外殼;一基板支撐物,用以在該電漿處理腔外殼內支撐一基板;一壓力調節器,用以調節該電漿處理腔外殼中的壓力;至少一電極,用以對該電漿處理腔外殼提供電力而維持一電漿;至少一RF電源,與該至少一電極電氣相連;一進氣口,用以使氣體通入該電漿處理腔外殼中;一排氣口,用以自該電漿處理腔外殼中排除氣體;一氣體源,與該進氣口係流體相連,該氣體源包括:一多孔low-k介電質蝕刻氣體源;及一剝除氣體源,包括一COS氣源,與至少一另外氣源;一控制器,與該氣體源及該至少一RF電源可控地相連,包括:至少一處理器;及電腦可讀媒體,包括:(a)第一電腦可讀碼,用以經由該圖型化有機光阻遮層在該多孔low-k介電層中蝕刻出特徵部;(b)第二電腦可讀碼,用以在蝕刻出該特徵部後剝除該圖型化有機光阻遮層,包括:第三電腦可讀碼,用以提供含COS的一剝除氣體;第四電腦可讀碼,用以由該剝除氣體形成一電漿;及第五電腦可讀碼,用以停止該剝除氣體,其中COS的流量比約為該剝除氣體之總流量的1至50%。
- 如申請專利範圍的第21項之在一多孔low-k介電層中形成特徵部的設備,其中相較於使用缺乏COS並含CO、CO2 、N2 、或N2 O以取代COS的剝除氣體來降低損害的情況,含COS的該剝除氣體更進一步降低對具有已蝕刻出之該特徵部的該 low-k介電層之損害。
- 如申請專利範圍的第21項之在一多孔low-k介電層中形成特徵部的設備,其中在剝除該圖型化有機光阻遮層期間,該壓力調節器將該電漿處理腔之壓力控制在5與20mTorr之間。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US2559008P | 2008-02-01 | 2008-02-01 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200947554A TW200947554A (en) | 2009-11-16 |
TWI467654B true TWI467654B (zh) | 2015-01-01 |
Family
ID=40932111
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW98103209A TWI467654B (zh) | 2008-02-01 | 2009-02-02 | 在多孔low-k介電層中形成特徵部的方法及設備 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8815745B2 (zh) |
KR (1) | KR101615914B1 (zh) |
CN (1) | CN101925984A (zh) |
SG (1) | SG187508A1 (zh) |
TW (1) | TWI467654B (zh) |
WO (1) | WO2009099812A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI743574B (zh) * | 2018-10-31 | 2021-10-21 | 台灣積體電路製造股份有限公司 | 形成互連結構之方法以及平坦化基板之方法 |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI455203B (zh) * | 2007-05-03 | 2014-10-01 | Lam Res Corp | 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制 |
DE102009046242B4 (de) * | 2009-10-30 | 2013-11-28 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Verfahren zur Herstellung eines Halbleiterbauelements mit Kontaktdurchführungen mit unterschiedlicher Größe durch Aufteilen des Kontaktlochstrukturierungsprozesses |
US8329585B2 (en) * | 2009-11-17 | 2012-12-11 | Lam Research Corporation | Method for reducing line width roughness with plasma pre-etch treatment on photoresist |
US8420947B2 (en) | 2010-12-30 | 2013-04-16 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system with ultra-low k dielectric and method of manufacture thereof |
US8946091B2 (en) * | 2011-04-28 | 2015-02-03 | Lam Research Corporation | Prevention of line bending and tilting for etch with tri-layer mask |
US8592327B2 (en) * | 2012-03-07 | 2013-11-26 | Tokyo Electron Limited | Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage |
US9059194B2 (en) * | 2013-01-10 | 2015-06-16 | International Business Machines Corporation | High-K and metal filled trench-type EDRAM capacitor with electrode depth and dimension control |
US9385000B2 (en) * | 2014-01-24 | 2016-07-05 | United Microelectronics Corp. | Method of performing etching process |
FR3022071A1 (fr) * | 2014-06-05 | 2015-12-11 | St Microelectronics Crolles 2 | Procede de realisation de contacts de tailles differentes dans un circuit integre et circuit integre correspondant |
KR20180030280A (ko) | 2016-09-12 | 2018-03-22 | 삼성전자주식회사 | 배선 구조체를 갖는 반도체 소자 |
US10043713B1 (en) * | 2017-05-10 | 2018-08-07 | Globalfoundries Inc. | Method to reduce FinFET short channel gate height |
US11158788B2 (en) * | 2018-10-30 | 2021-10-26 | International Business Machines Corporation | Atomic layer deposition and physical vapor deposition bilayer for additive patterning |
CN110323181B (zh) * | 2019-07-17 | 2021-08-24 | 武汉新芯集成电路制造有限公司 | 一种半导体器件的制造方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6187688B1 (en) * | 1997-01-21 | 2001-02-13 | Matsushita Electric Industrial Co., Ltd. | Pattern formation method |
US20060183314A1 (en) * | 2005-02-11 | 2006-08-17 | International Business Machines Corporation | Method for Fabricating Interconnect Structures with Reduced Plasma Damage |
US20060226120A1 (en) * | 2005-03-30 | 2006-10-12 | Lam Research Corporation | Etch profile control |
US20070059933A1 (en) * | 2005-09-09 | 2007-03-15 | Tokyo Electron Limited | Plasma ashing method |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2666768B2 (ja) * | 1995-04-27 | 1997-10-22 | 日本電気株式会社 | ドライエッチング方法及び装置 |
US6080680A (en) * | 1997-12-19 | 2000-06-27 | Lam Research Corporation | Method and composition for dry etching in semiconductor fabrication |
JP3803523B2 (ja) * | 1999-12-28 | 2006-08-02 | 株式会社東芝 | ドライエッチング方法及び半導体装置の製造方法 |
US6951823B2 (en) * | 2001-05-14 | 2005-10-04 | Axcelis Technologies, Inc. | Plasma ashing process |
US7396769B2 (en) | 2004-08-02 | 2008-07-08 | Lam Research Corporation | Method for stripping photoresist from etched wafer |
US7828987B2 (en) | 2006-03-20 | 2010-11-09 | Applied Materials, Inc. | Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits |
-
2009
- 2009-01-27 CN CN2009801034604A patent/CN101925984A/zh active Pending
- 2009-01-27 US US12/360,765 patent/US8815745B2/en not_active Expired - Fee Related
- 2009-01-27 KR KR1020107016487A patent/KR101615914B1/ko active IP Right Grant
- 2009-01-27 WO PCT/US2009/032162 patent/WO2009099812A2/en active Application Filing
- 2009-01-27 SG SG2013005079A patent/SG187508A1/en unknown
- 2009-02-02 TW TW98103209A patent/TWI467654B/zh not_active IP Right Cessation
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6187688B1 (en) * | 1997-01-21 | 2001-02-13 | Matsushita Electric Industrial Co., Ltd. | Pattern formation method |
US20060183314A1 (en) * | 2005-02-11 | 2006-08-17 | International Business Machines Corporation | Method for Fabricating Interconnect Structures with Reduced Plasma Damage |
US20060226120A1 (en) * | 2005-03-30 | 2006-10-12 | Lam Research Corporation | Etch profile control |
US20070059933A1 (en) * | 2005-09-09 | 2007-03-15 | Tokyo Electron Limited | Plasma ashing method |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI743574B (zh) * | 2018-10-31 | 2021-10-21 | 台灣積體電路製造股份有限公司 | 形成互連結構之方法以及平坦化基板之方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20110003465A (ko) | 2011-01-12 |
TW200947554A (en) | 2009-11-16 |
US20090197422A1 (en) | 2009-08-06 |
SG187508A1 (en) | 2013-02-28 |
KR101615914B1 (ko) | 2016-04-27 |
WO2009099812A3 (en) | 2009-10-01 |
CN101925984A (zh) | 2010-12-22 |
WO2009099812A2 (en) | 2009-08-13 |
US8815745B2 (en) | 2014-08-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI467654B (zh) | 在多孔low-k介電層中形成特徵部的方法及設備 | |
TWI353019B (en) | Method of preventing damage to porous low-k materi | |
JP5081917B2 (ja) | フッ素除去プロセス | |
TWI357094B (en) | Reduction of feature critical dimensions | |
KR101144022B1 (ko) | 에칭된 웨이퍼로부터 포토레지스트 스트립 방법 | |
TWI455203B (zh) | 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制 | |
US7560388B2 (en) | Self-aligned pitch reduction | |
US8124516B2 (en) | Trilayer resist organic layer etch | |
US7977242B2 (en) | Double mask self-aligned double patterning technology (SADPT) process | |
US20070122977A1 (en) | Self-aligned pitch reduction | |
EP2018661A1 (en) | Pitch reduction | |
US20060134917A1 (en) | Reduction of etch mask feature critical dimensions | |
US8470715B2 (en) | CD bias loading control with ARC layer open |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |