TWI233687B - Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions - Google Patents

Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions Download PDF

Info

Publication number
TWI233687B
TWI233687B TW093106473A TW93106473A TWI233687B TW I233687 B TWI233687 B TW I233687B TW 093106473 A TW093106473 A TW 093106473A TW 93106473 A TW93106473 A TW 93106473A TW I233687 B TWI233687 B TW I233687B
Authority
TW
Taiwan
Prior art keywords
metal
containing material
patent application
item
scope
Prior art date
Application number
TW093106473A
Other languages
English (en)
Other versions
TW200427055A (en
Inventor
Denise M Eppich
Ronald A Weimer
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200427055A publication Critical patent/TW200427055A/zh
Application granted granted Critical
Publication of TWI233687B publication Critical patent/TWI233687B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Description

1233687 玖、發明說明: 【發明所屬之技術領域】 本發明係關於電晶體裝置(包括n通道金氧半導體 (n-channel metal-oxide semiconductor ; NMOS)裝置與 ρ通道 金氧半導體(p_channel metal oxide semiconductor; PMOS) t置)以及互補式金氧半導體(complementary metal-oxide semiconductor ; CMOS)結構。本發明亦係關於製造電容器 裝置、電晶體裝置與互補式金氧半導體結構之方法。在特 定方面,本發明係關於包含電晶體裝置、電容器結構與/或 互補式金氧半導體裝配件之記憶儲存器,以及在某些方 面,本發明係關於動態隨機存取記憶體(dynamic random &=3__厂1)11趙)。在特定方面,本發明係關於包含 電容器結構、電晶體裝置與/或互補式金氧半導體裝配件之 電子系統。 【先前技術】
在各種半導體結構(包括例如電晶體裝置與電容器裝f 中使用高k閘極介電質具有某些優點。可將高以電質⑴ 為介電常數大於二氧化矽之介電常數之介電材料,盆引 括例如Ta2〇5、Aha及其他多種材料。 人們已有興趣試圖將高k介電材料整合 金氧半導體流中。但當與典型的互 的互補5 閘極電極結合使用時,、馬·伽;導體結構石 。口使用時,遇到數個困難。例如, 閘極介電膜上沈積矽(例如多日 直接在呵 人入 償7 (例如夕日日矽)典型地會在矽與介雷, 、"面處生成一反應層。反應層會於矽沈積期盥 1 L積期間與/或隨ij 91 770 doc 1233687 的矽之高溫退火期間出現。 . 久應滑會在%应介愛 產生一介面膜,例如石夕酸 、"電材料之間 7 口又夏。该介面層合 有效介電常數,# % 曰/夕"電堆疊的 吊数攸而限制介電質的可縮放 面層會成為電荷捕獲/固定 匕外,該介 子d狀態以及電荇眉不处μ 、 Ρ刀係由於金屬原 電何原子缺。電荷捕 極後退火的爹塑,*叮i U疋電何會受到閘 人的〜|,亚可導致電晶體裝置之臨 制的偏移。此外,臨界電壓會帝,兒i…法控 介電質的硼擴散而偏# < P ¥电換雜石夕至高閑極 移。•品界電壓偏移對諸如記,體單 几處理之高熱預算處理尤為重要並可造成門…體早 “係數膜之使用有關的介電質厚度增 質: 硼擴散依然係一問題。 逐迺;丨電貝的 々上述原因’希望開發出新的將高k介電材料併入互補 = :::體流之方法。此外,由於峨材料除互補】 孟乳h體裝配件之外亦用於其他半導體結構中,因此若 可適用於除互補式金氧半導體流之外的其他半導體製 造中,特定言之,若方法可適用於電容器裝置製造中,則 h為理想。亚且’若方法不僅可應用於高匕介電材料 用於其他介電材料,則較為理想。 … 【發明内容】 。方面’本發明包含一種形成一電路裝置之方法。一介 电θ形成⑨基板之上’以及一含金屬材料(例如,包含元 素金屬、金屬矽化物與/或金屬氮化物之材料,·其中的金屬 為例如嫣、銓、组與鈦中的_或多種)直接形成於該介電層 之上。形成的含金屬材料的厚度不超過約2〇A。隨後,將導 9l770.doc 1233687 % t嘁的矽直接形成於含金屬材料上。電路裝置可以係例 如—電晶體裝置或電容器裝置。 、、在另-方面,本發明包含一種形成一電晶體裝置之方 、將㈤極介電質形成於一基板上。將一含金屬材料形 :兒貝之上,形成的含金屬材料的厚度不超過約20A。 將導電摻雜石夕形成於含金屬材料上,隨後將含金屬材料盘 導電摻雜碎圖案化為-閘極堆疊。隨後將源極/沒極區域提 供於問極堆疊附近。 j另:方面’本發明包含—互補式金氧半導體。互補式 q半導體於基板上包括—介電層。—PM0S閘極與— NMOS閘極位於介電層之上。第—含金屬材料位於觸s閘 極之内介電層之上,第一含金屬材料的厚度大於⑽,更為 ^的係大於15GA°第二含金屬材料位於NMOS閘極之内 介電層之上,第二含金屬材料的厚度小於或等於約20A。第 -層η型摻雜石夕位於PM0S閘極之内第一含金屬材料之上, 而弟二層η型摻雜㈣於N⑽閘極之内第二含金屬材料之 在另一方面,本發明包含一雷 ^ ^ ^ 包^态結構。該結構包括一 已S ΐτ電捧雜石夕的笛—雷六故 電極。介電層鄰近第-電容 為電極’而第二電容器電 电從攸弟電谷裔電極橫跨介電 d。δ孟屬材料位於第一電容 示电谷杰電極之導電摻雜矽與介帝 層之間。含金屬知μ后 ^ 屬材枓的厗度小於或等於約20Α。 本發明之各種結構可併 罢。、,n W八σ己隐體裝置,例如DRAM裝 置 亚且,本發明之各種处彳盖可彳 ϋ λ · 7 / 分裡、、、口構可併入電子系統中。 9l770.doc 1233687 【實施方式】 本Sx明的一方面係應認識到:在互補式金氧半導體結構 之NMOS與PMOS裝置中,將含金屬材料併於介電材料與導 電換雜石夕之間較為有利。此外,應認識到,若PM〇s裝置中 使用的含金屬材料實質上較NMOS裝置中使用的含金屬材 料厚(PMOS裝置中的含金屬材料的厚度大於2〇入,經常大於 100A甚至大於或等於1 5〇A),而NMOS裝置中使用的含金 屬材料較薄,則較為有利。NM〇s裝置中使用的含金屬材料 典型地不超過20A,經常小於或等於15A,甚至小於或等於 10A。或者考慮,NM〇s裝置中使用的含金屬材料典型地係 ,超過 70個原子層沈積(atomic layer deposition ; ALD) 7環形成,經常小於50個ALD循環,甚至小於4(h@ald循 %,而在本發明之應用中使用的典型ald包括約〇·3至約㈣ ―、循衣的Α積速率。但應理解,本發明可使用沈積速率不 ^ 3至、力〇.4埃/循環的沈積速率的ALD。NMOS裝置中 使用的含金屬層會由於;過舊 、 潯而斷開,但仍然適用於本發 明之特定應用。 3兔~蜀符料可包含鈦 '給、鉅或鱗。示. 性έ金屬材料包含、基本上由 ^ ^ +田以下材料組成,或由以下, 或夕種材料組成··元素鈦、元 〜 十# 京給、兀素鈕與元素鎢;病 3 组、給與鎢之一或多種 插.^ 妁虱化物與矽化物之一或: 種。在某些方面,較為理想 含金屬材料包含、基? 氮化鶴、氮化铪與氮化鈦 上由以下材料組成或由以下一或 «仆隹斤 $夕種材料組成··氮化鈕、
Wa 1C* 4馬、澍彳[-丛咖名VL AL 91770 doc 1233687 在特定靡® 士 〜中’在介電材料與摻雜有硼的多晶矽之間佶 用含金屬奸 、 J ^ 並且^ ρ科可減輕甚至防止硼向外擴散入介電材料中。 ’、可選擇特定的含金屬材料以使含金屬材料與p型矽之 1似的功函數可導致?]^〇§裝置臨界電壓輕微的偏移, 14適§合金屬材料為氮化鈦與氮化鎢)。此外,在言 介電質金a τ-λ, * » 门 ^ 83材料之間使用該等含金屬材料可減輕甚至 丁十I生接觸時則會發生。 儘&具有與P型摻雜矽類似功函數的含金屬材料在 °中使用蚪較為出色,該等含金屬材料的功函數在NM〇s 閘,中邠可造成問題。例如,氮化鈦係-種具有與p型摻雜 、、^;函數的含金屬材料,而一較厚的氮化鈦層會相對 於缺乏鼠化鈦的閘極將應⑽臨界電壓偏移約一伏特。可藉 由在NM0SW極中使用_含金屬材料來避免此問題,該㈣ 的功函數與mow極中使用的功函數不同。但功函數較低 ::屬(其可成為η型矽的適當替代物)在升高的溫度下往往 會高度不穩定。因此該等金屬不適於在半導體裝置製造期 間使用的典型熱處理條件。 .本發明的-方面係在顧⑽裝置内使用極薄的含金屬材 料位Ρ早層(數個單層或稍薄),材料的功函數實質上與η型矽 的功函數不同。將含金屬㈣置於⑦與介電材料(例如像氧 化鋁之類的高k介電質)之間。含金屬材料較佳係足夠薄以 避免完全支配n㈣與含金屬材料的組合功函數,但庫、足夠 厚以減輕甚至防止石夕與介電材料反應。藉由減輕石”介電 91770 doc -10- 1233687 材料的反應,即可避免先前技術中在料以介電材料之介 面處電荷捕獲的問題,其可使_仍裝置的臨界電壓受到更 大程度的控制。 NMOS裝置中使用的較薄的含金屬材料位障層可藉由任 何適當方法形成,包括例如原子層沈積(ALD)與/或化學汽 相沈積(chemiW vapor depositi〇n ; cVD)。較佳地係,使用 原子層沈積,因為如此可實現對含金屬材料之厚度幻句勾 ㈣出色控制,並可減輕甚至防止損壞與含金屬材料直接 實體接觸的次表面介電質及與其相互作用。 含金屬材料典型地係直接形成於介電材料上。若 CVD形成,術語「直接在^ ^ ^ ^ ^ 曰 牡.··上」表不形成的含金屬材料與 介電材料實體接觸’或者若程序為ALD’則表示形成含金 屬材料過程中使用的層沈積成與介電材料實體接觸。在某 些方面,介面層可在形成塊狀的含金屬材料之前形成於塊 狀介電材料上,而含金屬材料可形成於介面層i。介面層 可包含例如氮化物,例如氮切或氮聽。氮化物可 例如表面特定介電材料的氮化而形成。 形成於含金屬材料上的何藉由任何適#方式形成,包 括例如化學汽相沈積。可增強導電率的摻雜劑可於沈積期 間形成於#,或在沈積之後使用適當的植入。 將石夕直接形成於含金屬材料上(若程序為化學汽相沈 積術5吾「直接在...上」表示形成的石夕與含金屬材料實轉 接觸)可使石夕與含金屬材料發生反應以形成含有金屬與Z 的馒合物材料,例如包含鈦、氮與矽(风Siy,其中X與y 91770 doc -11 - 1233687 =於零給、1與秒;鎢、氮與石夕;或组、氮與料材料。 若f合材料包含鈦、氮與石夕,該材料的功函數處於石夕化鈦 ”氮化鈦之中間。據文獻指示,石夕化鈦與氮化鈦的個別功 X數的|&圍刀別在3.67至4.25電子伏特以及在4·83至4.95電 子伏特。 圖1說明高頻電容/電壓資料,其顯示原子層沈積的氮化 鈦位ρ早對平▼電壓(flatband ν〇1ί_ ; V作)的影響。⑽入厚 度的氮化鈦位障呈現出塊狀氮化鈦的功函數,ϋ已在快閃 電晶體實驗中顯示出與PM0S臨界電a相匹配。使氮化欽膜 支薄至15個ALD循ί衣(估計約為5人至約7A厚)可將v作偏移 、毫伏此外,進一步使氮化鈦位障變薄可能獲得Vfb 爲移W 1之貝料顯不五個循環的原子層沈積的氮化欽相對 於在氧化IS上氮化鈦的使用效果不明顯。事實上,從三個 循環中形成的氮化鈦與從五個循環中形成的氮化鈦的電容 /電壓曲料於直接形成於氧化|gJ^具插人氮化欽位障 的多晶矽閘極的電容/電壓曲線。展開的電容/電壓曲線表示 介面狀態的升高。其與累積電容的減少-起支援在不存在 氮化鈦的情況下介面石夕酸鹽層的形成,或在存在氮化鈦的 情況下從五個或更少的ALD循環中形成(所示的⑽循環 對應於約0.U至約(MA厚的氮化鈦/循環的生長速率)。 參考圖2至7說明本發明之一示範性方面。初步參考圖2, 其說明了-包含-第一片斷12與一第二片斷14的半導體結 構10。片斷12與14分別對應於職⑽區域與pM〇s區域,並 可一起併入一互補式金氧半導體結構。 9l770.doc -12- 1233687 雜結構1G包含—基板16,基板在難os區域12内為p型播 =而在PMOS區域14内為n型摻雜。基板16可包含例如單 ΓΓ °為有助於對以τ中請專利範圍的理解,將術語「半 5电基板」與「半導體基板」定義為表示任何包含半導電 材料(包括但不限於)諸如半導電晶圓的塊狀半導電材料(獨 在或處於包含其他材料之裝配件中)以及半導電材料 曰「(獨立存在或處於包含其他材料之褒配件中)的結構。術語 基板」指任何支援結構,包括但不限於上述半導電基板。 介電材料18延伸於基板16之上。顯示介電材料18包含一 對單獨的層,下部的薄層2G直接形成於基㈣的上部表面 ^ ’而上部厚層22形成於薄層2〇上。薄層2〇可包含例如二 氧化石夕’以及在特定應用中可對應於形成於單晶碎基板16 ^上部表面上的原生氧化物。介電材料22可對應於任何適 當^介電材料’包括例如高以電材料。層22例如可包含— 或多個氧化物與/或一或多個矽酸鹽。在特定應用巾,層U 將包含-或多魅、給與|g。層可例如包含鈦的氧化物曰(例 如Ta2〇5)、!呂的氧化物(例如Al2〇3)、氧化給與/或石夕酸給; 不 =及在某些應用中可包含多層不同材料(例如MOW叫 等)。多層可為例如奈米疊層。儘管顯示介電材料以包含兩 個單獨的層’應理解該材料可包含一單-層或可包含兩個 以上的單獨層。在特定處理中,可省略二氧化矽層Μ,而 高k介電材料可用於整個介電層18。在其他方面,整個介電 材料可以係高k材料之外的材料,例如,二氧化石夕 一含金屬材料層24形成於介電材料22上,並且在所 91770.doc -13 - 1233687 具體實施例中,其係實體緊靠 ;_形成…一.可將含金屬::稱=之 =’ 含金屬層’以便將 :二 金屬層加以區分。含 更p將形成的含 包括例如^ 何適#方法形成, ^ ^ 之孟屬可包含例如鈦、釦、 鎢或姶。在特定方面,層24可 - 成或由以下材料,且成.… 下材料組 卜料組成.π祕、鈦、料_ ;或可包含、 土本上由以下材料組成或由以下材料組成··鈦、鈕、鎢與 姶之-或多種的氮化物與/或矽化物。形成的層24的厚度工 於2〇Α’且典型地,形成的厚度大於100Α,例如厚度:於 或等於150Α。 參考圖3,圖案化層24以使層24之材料位於pM〇s區域μ 上,而非NMOS區域12上。層24之圖案化可將層之材料形成 為一材料塊。完成該圖案化可藉由例如微影蝕刻處理以於 層24上形成一圖案化光阻光罩(圖中未顯示)、對層24適當蝕 刻以將圖案從光罩轉移至層上、隨後剝離光阻光罩。圖2 與3所示之程序僅僅係可用於在PM〇s區域14上而非nm〇s 區域12上形成一含金屬材料塊(圖3中層24之剩餘部分)的數 種方法中的一種。 參考圖4,層30、32、34與36的一堆疊形成於NMOS區域 12與PMOS區域14上。層30包含金屬材料,形成的層厚度小 於或等於約20A。可將層30稱為第二含金屬層,以便與第一 含金屬層24加以區分。在所示的本發明之方面中,層30係 橫跨NMOS區域12實體緊靠介電材料22形成,以及橫跨 9l770.doc -14 - 1233687 PMOS區域ί4實體緊靠第—含金屬心形成。含金屬層川 可f由例如原子層沈積形成,形成的層厚度小於或等於約 \5、’在特定方面,形成的層厚度小於或等於約此或者 形成的層厚度小於或等於約5()個ald循環或小於或等於約 第-含金屬層30具有的成分可與第-含金 屬材1 層I4相同或不同。含金屬層咐要可包含氮化鈦(即 以重里计异50%以上的含金屬層3〇為氮化欽)。或者含金 層3〇主要包含氮化組、氮化鶴或氮化給。在特定方面,層 3〇可包含、由以下材料組成或基本上由以下材料組成:元 素鈦、組、鶴與給之一或多種;以及可包含、基本上由以 下材料組成或由以下材料組成:鈦、組、嫣與給之一或多 種之氮化物與/或矽化物。 層32包含、基本上由以下材料組成或由以下材料組成: 導電摻㈣(例如導電摻雜非晶石夕或導電摻雜多晶外在所 示的本發明之方面中’含石夕層32實體緊靠含金屬層%。並 且在所示的本發明之方面中,相同導電摻雜石夕層橫跨過 NMOS與PMOS區域延伸。因此,若導電摻雜層32的大部分 為η型摻雜,則在NMOS與PM0S區域中均使用該材料: 理解’本發明可包含其他方面(圖中未顯示),其中相料 NMOS區域在PMOS區域t使用不同的導電摻雜材料,或 NMOS與PM0S區域之-中可省略導電摻雜石夕材料。但較佳 地係所示的本發明之方面,其中若相 ”〒右相R的導電摻雜矽掃 基板的NMOS與PMOS區域形成,則其可簡化處理 、 層34可包含例如金屬與/或金屬合金, — 仕将疋方面將包含 9l770.doc -15 - 1233687 層J 6可包含一電絕緣罩,例如氮化矽。 芩考圖5,圖案化層3〇、32、㈣⑽分別在匪〇s區域 12MPM0S區域μ上形成閘極堆叠4()與42。可將堆疊鄉々a :圖,化的材料分別稱為第一材料與第二材料,以便與特 疋堆豐内的材料加以區分。例如,可將堆疊4〇中的圖案化 矽们2稱為第一石夕材料,堆疊㈣石夕層稱為第二石夕材料。 堆豐40與堆疊42的—明㈣異係間極堆疊鄉導電換雜 石夕層32與介電材料22之間僅具有較薄的含金屬材料㈣, 而閉極堆疊42於導電摻雜石夕層32與介電材料22之間除較薄 的含金屬㈣層3G之㈣具有較厚的含金屬材料層24。 ^佳地係’閘極堆疊42中位於導電接雜碎層㈣介電材 ::之間的含金屬材料足夠厚,以使閘極堆疊㈣功函數 Ά«純含金屬材料的功函數等效。反之,閘極堆疊扣内 的含金屬材料較佳係足夠薄 含金屬材料的功函數,而是由導電;=數不⑽ &切彳兒穋雜矽層32調整。但含 層3。較佳係足夠厚以使堆疊4〇之功函數亦不同-於 =笔換雜石夕層32之功函數,而是處於純含金屬材料之功 口文與純導電摻雜石夕之功函數之間。在特定方面,一起位 =M〇S堆疊_的導電摻”層Μ與含金屬材料層30之 ^數相對於純形式的導電摻雜石夕與含金屬材料之功函數 偏私,並從純形式之含金屬材料的功函數偏移至少5〇毫伏。 在卿㈣極堆疊4G之功函數包含來自層32之導電㈣ 貢獻之應用中,材料32之大部分摻㈣類型典型地為n 91770 doc -16 - Ϊ233687 型。 由於PMOS閘極堆疊42之功函數有效地為含金屬材料24 之功函數,石夕層3 2的導電型摻雜與閘極堆疊的功函數不相 關。因此,PMOS閘極堆疊42之層32可包含n型矽或p型石夕。 但較佳地係閘極堆疊使用與堆疊42之石夕相同的η型石夕。堆最 42之石夕隨後使用堆疊40之矽以一單一步驟形成,如圖4之處 理所示。 由層30、32、34與36形成閘極堆疊40可視為將該等層之 材料併入一 NMOS閘極堆疊中。同樣地,由層24、3〇、、 34與36形成閘極堆疊42可視為將該等層之材料併入 閘極堆疊中。 在本發明之特定方面,可將閘極堆疊40與42下的區域分 別稱為NMOS閘極區域與PM〇w極區域。並且,在本發明 之特定方面,閘極堆疊4〇與42下的介電材料“與“可視為 一閘極介電層。 ^金屬層3G接觸的層32之料與在本發日月之各個方面 Γ金屬材料發生反應,以在石夕與含金屬材料之介面處形 、包含金屬、石夕以及有可能包含 數£於人严 3虱之成刀。該成分的功函
門搞4/㈣化物與含金屬材料之功函❹間,而在NM〇S
f 之情況下,該功函數最終會影塑到卩1 h i A 函數。 取、曰〜箐到閘極堆疊的總功 ’考圖6,側壁間隔物 之側壁而形成Pm 1極堆疊4〇與4 成。間隔物4 6與4 8可和冬/工y . 料,包枯^ 』包3任何適當之絕緣相 J如氮化碎與二氧化石夕而去十 乳化矽兩者或其_之一,並可藉 9l770.doc -17- 1233687 由例如沈積一適當材料,之後再各向異性蝕刻該材料而形 成0 N型源極/汲極區域50形成於與閘極堆疊4〇相鄰的基板工6 内以το成NMOS電晶體裝置之形成,、而p型源極/汲極區域52 形成於與閘極堆疊42相鄰的基板16内以完成pM〇s電晶體 衣置之开> 成。源極/;及極區域5 〇與52可藉由任何適當方法形 成包括例如各種在側壁間隔物4 6與4 8形成之前與/或之後 發生的植入。 仏官參考NMOS電晶體與PMOS電晶體之形成(即互補式 金氧半導體結構之形成)說明圖2至6之處理,應理解本發明 之各方面可用於單一電晶體之形成。例如,圖6所示之類型 的NMOS電晶體可單獨形成以併入各種電路裝置中。 上述針對NMOS電晶體裝置之形成的處理可用於其他裝 置之形成,包括例如電容器裝置。參考圖7對此種情況進行 ,明,該圖顯示了包含一drAM單元102的結構1〇〇qDram 單兀包含一電晶體結構1〇4,該結構具有與一電容器結構 106以及位元線130電連接的源極/汲極區域1〇7。 電晶體結構104係作為一!^1^〇8結構顯示。因此,源極/ 汲極區域107係n型摻雜區域。源極/汲極區域延伸入一p型 基板1〇8。基板108可包含任何適當結構,包括例如單晶矽。 電晶體裝置Η)4進-步包含—與基板⑽藉由—閘極;電質 112分開的導電閘極"。。閘極介電質U2可包含任何適當二 料’包括例如二氧化石夕與/或高k介電材料。$電閘極材料 110可包含任何適當材料,或材料之組合。在特定方面,圖 91770 doc -18- 1233687 7之難0S電晶體裝置將對應於_斤示之蘭〇s裝置,且因 此閘極晴包含層3()、32與34。在其他方面,開極川可 包含一傳統結構。 -絕緣罩114形成於導電間極材料㈣上,而絕緣側壁間 隔物U6係沿導電閘極材料之側壁而形成。罩η W可包含任何適當材料’包括例如:氧切與氮切令之 一或兩者。 電絕緣材料118延伸於電晶體裝置1〇4之上與其周圍。絕 緣材料U8可包含-或多種適當材料,包括例如㈣石夕酸鹽巴 玻璃(borophosphosilicate glass ; BPSG)。 一開口可延伸穿過材料118至源極以極區域⑽之―。導 電基座120位於開口内並與源極/祕區域電連接。導電基 座可包含任何適當之導電材料,包括例如導電摻雜石夕= 屬與/或金屬化合物。 電容器結構1 06亦在絕緣材料丨丨8内的開口内延伸,並且 與導電基座120電連接。電容器結構1〇6包含一儲存節點 122、一介電材料124以及一含金屬材料126。 儲存節點122可包含任何適當之導電材料,包括例如導電 摻雜粗縫碎(例如半球形顆粒吩)。 介電材料124可包含任何適當之材料,包括例如高&介電 材料(例如上述圖2之介電區域1 8之高k材料)與/或二氧化 矽’與/或二氧化矽與氮化矽之組合。在特定方面,介電材 料124可包含氧化鋁與二氧化矽,二氧化矽係一位於儲存節 點1 22之導電摻雜矽與氧化鋁之間的一薄層(圖中未顯示 1233687 含金屬材料1 2 6可包含鱼井命辦、+,^ 一、 匕3 U先刖所述層3〇之含金屬材料相 同之成分,並可藉由例如ALD或CVD形成。 電容器電極128可包含例如導電摻雜石夕,其可以為p型換 雜或η型摻雜。與電容器板128之導電摻雜石夕結合使用含金 屬材㈣6之薄層的一優點可為,實現對包含材料⑶與⑶ 之堆豐之功函數的控制與操作。 J盡管顯示含金屬材料126位於介電材料124與第二電容器 电極128之間’應理解含金屬材料可替代地及/或額外地形 成於介電材料與電容器儲存節點122之間。 士一包含依據本發明之方法形成的粗链半導體材料之電路 ,置可用於多種裝配件’包括例如電腦系統以及其他電子 糸統。 圖8 -般說明(藉由範例,但不限於範例)依據本發明之一 方面的電腦系統400之-項具體實施例。電腦系統_包括 一顯示器4〇1或其他通信輸出裝置、-鍵盤402或其他通作 輸入裝置以及一母柘4〇4 、 及母板404。母板404可承載一微處理器4〇6 或其他資料處理| ,,v u , 貝τ卞处里早兀,以及至少一記憶體裝置4〇8。記憶體 ^置408可包含上述本發明之各方面,包括例如_或多種電
:把衣置、互補式金氧半導體結構、電容器結構與DRAM 早兀。圮憶體裝置408可包含一記憶體單元陣列,且該陣列 可與用於存取陣列中個別記憶體單元的定址電路輕合。此 外…己憶體單7L陣列可輕合於用於從記憶體單元中讀取資 料之巧取電路。定址與讀取電路可用於在記憶體裝置彻 與處理為406之間傳遞資訊。其已在圖9所示之母板4〇4之方 9l770.doc -20- 1233687 塊圖中說明。在該方塊圖中,將定址電路說明為4ι〇,而將 讀取電路說明為4 1 2。 在本I明之特疋方面,圮憶體裝置4〇8可對應於一記憶體 模組。例如,單直列記憶體模組in_Hne module; SIMM)與雙直列記憶體模組yin…歸丫 moduU ; DIMM)可用於使用本發明之原理的具體實施例 :。記憶體裝置可併入多種可提供從裝置之記憶體單元中 讀取以及寫人裝置之記憶體單元的不同方法中的設計中的 任一種中。一種該方法係頁模式操作。dram中的頁模式 操作由存取一記憶體單元陣列之列以及隨機存取陣列之不 同行的方法定義。當存取該行時,可讀取並輸出儲存於列 與行交錯處的資料。 一替代類型的裝置係擴展資料輸出(extended ^咖 output; EDO)記憶體,其可使儲存於記憶體陣列位址中的 資料在定址行關閉之後作為輸出使用。該記憶體可藉由提 供較短的存取信號而不減少可供記憶匯流排使用記憶體輸 出資料的時間,提高某些通信速度。其他替代類型的袭置 包括 SDRAM、DDR SDRAM、SLDRAM、VRAM與直接 RDRAM以及其他諸如SRAM或快閃記憶體的裝置。 圖10說明了本發明之一示範性電子系統7〇〇各項具體實 施例之高階組織之簡化方塊圖。系統可對應於❹一電 腦系統、-處理控制系統或任何其他採用一處理器與 記憶體之系統。電子系統700具有功能性元件,包括二處理P 器或算術邏輯單元(arithmetict/logic unh; ALu)7〇2、:控 91770.doc -21 - 1233687 制單元704、一記憶體步罟结一 、置早兀706以及一輸入/輸出 (input/°utput;i/0)裝置⑽。—般而言,電子“將具 有一原生指令集,其可衫即將由處理器702實施的操作以 及處理器7 0 2、記憶體裝罟留一 1 蒞衣置早兀7〇6以及I/O裝置708之間的 其他互動。控制單元704藉由拄鸽他严 ,^ 秸田符績循裱一組引起從記憶體裝 置706擷取指令並執行指令的操作,而調控處理器μ、記 憶體裝置7〇6與1/〇裝置的所有操作在各項具體實施例 中,記憶體裝置706包括但不p艮於隨機存取記憶體㈣d_ access memory ; RAM)裝置、唯讀記憶體(read_〇niy mem〇ry ; R0M)裝置以及諸如軟碟驅動器和麼縮碟片 CD-ROM驅動器的周邊裝置。熟習此項技術者應理解,當 閱讀與理解本揭示内容時,任何所說明的電子組件均可製 造成包括依據本發明之各方面之組件。 圖11係一示範性電子系統800之各項具體實施例之高階 組織的一簡化方塊圖。系統δ00包括一具有一記憶體單元 8〇4之陣列的記憶體裝置8〇2、定址解碼器δ〇6、列存取電路 808、行存取電路810、用於控制操作的讀取/寫入控制電路 812以及輸入/輸出電路814。記憶體裝置8〇2進一步包括電 源電路816以及感應器820,例如用於決定記憶體單元是否 處於低臨界導電狀態或處於高臨界非導電狀態的電流感應 器。所說明的電源電路8 16包括電源供應電路88〇、用於提 供參考電壓的電路882、用於提供具有脈衝的第一字元線的 電路884、用於提供具有脈衝的第二字元線的電路886、以 及用於提供具有脈衝的位元線的電路888 Q系統8〇〇亦包括 91770 doc -22 - 1233687 一處理器8 2 2或用於記憶體存取的記憶體控制琴。 記憶體裝置802可透過電線或金屬化線路從處理器822接 收控制信號824。記憶體裝置802可用於儲存資料,該資料 可經由I/O線路存取。熟習此項技術者應理解,可提供額外 的電路及控制信號,並已簡化記憶體裝置8〇2以有助於著重 說明本發明。處理器822或記憶體裝置802之至少一個可包 括本揭示内容中先前說明之類型的一 DRAM單元、互補式 金氧半導體、電容器或電晶體。 本揭示内容所說明的各系統意在提供對本發明之電路與 結構的各種應用的一般理解,並非充當使用依據本發明之 各方面的記憶體單元之電子系統之所有元件與特徵的完整 說明。熟習此項技術者應理解,各電子系統可製造於單一 封裝的處理單元中,或甚至製造於單一半導體晶片上,以 減少處理器與記憶體裝置之間的通信時間。 纪憶體單元之應用可包括用於記憶體模組、裝置驅動 口口电源杈組、通信數據機、處理器模組以及特殊應用模 組的電子系統,並可包括多&、多晶片的模組。該電路可 進一步為各種電子系統之次組件,例如一時鐘、電視、蜂 巢式電話、個人電腦、汽車、工業控制系統、飛機及其他。 【圖式簡單說明】 上文已參考隨附圖式說明本發明之較佳具體實施例。 圖1係包含位於氧化鋁與多晶矽之間的原子層沈積 (at⑽ic layer deposited ; ALD)氮化鈦的各種結構之電容(以 皮法拉為早位)對比電壓的曲線圖。氮化欽層的厚度在曲線 9l770.doc -23 - 1233687 圖之小插圖中說明,其表示無鈦層時,在形成氮化鈦層中 使用的ALD循環的特定數量,或存在一 1〇〇人厚的氮化鈦 層。各ALD循環對應於約〇·3Α至約0.4A的氮化鈦的生長速 率。 圖2係一半導體晶圓結構在一初步處理階段的示意分部 圖’其說明了 一對晶圓結構片斷。 圖3係圖2所示階段之後的一處理階段中的圖2之晶圓片 斷之圖。 圖4係圖3所示階段之後的一處理階段中的圖2之晶圓片 斷之圖。 圖5係圖4所示階段之後的一處理階段中的圖2之晶圓片 斷之圖。 圖6係圖5所示階段之後的一處理階段中的圖2之晶圓片 斷之圖。 圖7係依據本發明之另一項具體實施例之半導體晶圓片 斷的一示意分部斷面圖,並說明了 一 DRAM單元。 圖8係說明本發明之一項示範性應用的電腦之示意圖。 圖9係一顯示圖8之電腦之母板的特定特徵的方塊圖。 圖1〇係依據本發明之示範性方面的一電子系統的高階方 塊圖。 圖11係依據本發明之一方面的示範性電子系統的一簡化 方塊圖。 【圖式代表符號說明】 10 半導體結構 9l770.doc -24- 1233687 12 NMOS區域 14 PM〇S區域 16、 108 基板 18 介電材料 20 下部薄層 22 上部厚層 24 含金屬層 30、 32 、 34 、 36 層 40 ^ 42 堆疊 46、 48 、 116 間隔物 50 N型源極/汲極區域 52 p型源極/汲極區域 100 結構 104 電晶體裝置 106 電容器結構 107 源極/>及極區域 110 導電閘極 112 閘極介電質 114 絕緣罩 118 電絕緣材料 120 基座 122 儲存節點 -25 - 1233687 124 介電材料 126 含金屬材料 128 電容器板 130 位元線 400 電腦糸統 401 顯示器 402 鍵盤 404 母板 406 微處理器 408 記憶體裝置 410 定址電路 412 讀取電路 700 ^ 800 電子系統 702 算術邏輯單元 704 控制單元 706 記憶體裝置單元 708 輸入/輸出裝置 802 記憶體裝置 804 記憶體單元 806 定址解碼器 808 列存取電路 810 行存取電路 c -26- 讀取/寫入控制電路 輸入/輸出電路 電源電路 處理器 控制信號 電源供應電路 提供參考電壓的電路 電路 電路 電路 -27-

Claims (1)

1233687 拾、申請專利範園: 1· 一種形成一電路裝置之方法,其包含: 在一基板上形成一介電層; 形成的該含金 直接在該介電層上形成一含金屬材料, 屬材料之一厚度不超過約20A;以及 直接在該含金屬材料上形成導電摻雜石夕。 2·如申請專利範圍第1項之方法,其 r β泠電摻雜矽大部分 為η型摻雜。 3·如申請專利範圍第丨項之方法,其中 τ β ν電摻雜矽為η型摻 雜,且其中該導電摻雜石夕與含金屬材料—起的一功函數相 對於純形式的料電掺㈣與該含金屬材料之該等功函 數偏移’並從純形式的該含全屬ϋ 、34屬材枓之該功函數偏移至少 5 0毫伏。 4.如申請專利範圍第1項之方法,其中·· 該電路裝置係一電容器結構, 該基板包含該電容器的一第一電節點,以及 该導電摻雜矽包含於一藉由 祠·田芏)该介電材料與該一 電節點間隔開的第二電節點。 5.如申請專利範圍第1項之方法,其中·· 該電路裝置係一電晶體, 該介電質包含於一閘極介電質,以及 該導電摻雜矽包含於一閘極。 6·如申請專利範圍第1 人士 、方法,其令形成該導電摻雜矽包 含在該含金屬材料上、分 上&_,且其中包切與該含金屬材 91770 doc 1233687 料之金屬的一成分形成於該含金屬材料與該石夕之介面處。 7.如申請專利範圍第β之方法,其中該介電層包含一高k 介電材料。 8·如申請專利範圍第1項 、之方法,其中該介電層包含钽、铪 與鋁之一或多種。 9 ·如申睛專利範圍第1項之 ^ 、之方法,其中該含金屬材料包含氮 化鈇、氮化组、氮化給與氮化鶏之-或多種。 10·如申請專利範圍第1項之 、之方法,其中該含金屬材料包含矽 化鈦、魏mi化給與妙化鶴之—或多種。 11 ·如申請專利範圍第1項之 貝之方法,其中該含金屬材料之該金 屬包含鈦、H、給與纽之一或多種。 12_如申請專利範圍第1項 只 < 万去,其中該含金屬材料之該金 屬基本上由鈦、鎢、給與Μ之—或多種組成。 Π.如申請專利範圍第!項之方法,#中該含金屬材料之該金 屬係由鈦、H、給與麵之—或多種組成。 14. 如申明專利靶圍第1之方法,丨中該含金屬材料之該厚 度小於或等於約15Α。 15. 如申請專利範圍第1項之 # & >人人s立丄, 貝 < 方法,其中該含金屬材料之該厚 度小於或等於約l〇A。 16. —種形成一電晶體裝置之方法,其包含: 在一基板上形成一閘極介電層; 在该介電層上形成—含金屬材料,形成的該含金屬材 料不超過約70個原子層沈積(ALD)循環; 在該含金屬材料上形成導電摻雜矽; 9l770.doc !233687 疊; 、將該含金屬材料與導電摻雜石夕圖案化為一閉極堆 以及 鄰近該閘極堆疊提供源極/汲極區域。 請專㈣㈣16項之方法,其中料電 為η型摻雜。 /穴4女 18.=請專利範圍第16項之方法,其中該導電摻雜石夕為㈣ …且其巾科電摻料與含金屬__起的—功 相對於純形式的該導電摻雜石夕與該含金屬材料之該= 少H㈣式的該含金屬材料之該功函數偏移至 19.2請專·圍㈣項之方法,其中形成該導電摻雜石夕包 =5亥含金屬材料上沈積石夕,且其中一包含石夕與該含 2〇=之金屬的成分形成於該含金屬材料與該石夕之介面處。 .十月專利範圍第16項之方法,其中該介電層包含_ 介電材料。 ^ ^ 21.如申請專利範圍第16項之方法1中該介電 與鋁之一或多種。 22·如申請專利範圍第16項之方法,其中該含金屬材料包含氛 化欽、氮化组、氮化铪與氮化鎢之一或多種。 2」.如申4專利範圍第16項之方法,該含金屬材料包含石夕化 鈦、矽化钽、矽化铪與矽化鎢之一或多種。 24.如申3f專利範圍第⑽之方法,其中該含金屬材料之該金 屬主要包含鈦。 X 々申%專利乾圍第16項之方法,其中該含金屬材料之該金 91770 doc 1233687 屬主要包含趣。 26_如申請專利範圍第16項之方法,其中該含金屬材料之該金 屬主要包含铪。 27‘如申請專利範圍第16項之方法,其中該含金屬材料之該金 屬主要包含鎢。 28. 如申清專利範圍第16項之方法,其中該含金屬材料之一厚 度小於或等於約20A。 29. 如申凊專利範圍第16項之方法,其中該含金屬材料之一厚 度小於或等於約1 5A。 3〇·如申請專利範圍第16項之方法,其中該含金屬材料之一厚 度小於或等於約l〇A。 3 1 *種形成一 PM〇S裝置與一 NMOS裝置之方法,其包含: 提供包含一 PMOS閘極區域與一 nm〇S閘極區域之基板; 在該基板之該等PMOS與NMOS閘極區域上形成一閘極 介電層; 在該PMOS閘極區域而非該NMOS閘極區域上形成一厚 含金屬材料,形成的該厚含金屬材料之一厚度大於2〇A ; 在該等PMOS與NMOS閘極區域上形成一薄含金屬材料 ’形成的該薄含金屬材料之一厚度小於或等於約2〇A,並 形成於位於該PMOS閘極區域上的該厚含金屬材料上; 形成一橫跨該等PMOS與NMOS閘極區域延伸於該薄含 金屬材料之上的導電摻雜石夕層; 將該厚含金屬材料、薄含金屬材料以及導電摻雜矽併 入位於該P Μ〇S閘極區域上的一 Ρ Μ Ο S電晶體閘極堆疊; 91770 doc -4- 1233687 以及 將該薄含金屬材料與導電摻雜石夕併入位於該職〇s閘 極區域上的一 NM0S電晶體閘極堆疊。 32.如申睛專利範圍第31項之方法,其中該導電摻雜石夕大部分 為η型摻雜。 •如申叫專利範圍第3 1項之方法,其中該閘極介電層包含 鈕、铪與鋁之一或多種。 34·如中請專利範圍第31項之方法,其中該閘極介電層包含位 於二氧化矽上的氧化鋁。 35. ”請專利範圍第31項之方法,其中該薄含金屬材料包含 乳化鈦、氮化组、氮化給與氮化鶴之一或多種。 36. 如申請專利範圍第31項之方法,其中該薄含金屬材料包含 矽化鈦、石夕化组、石夕化給與石夕化鶴之一或多種。 37. 如申請專利範圍第31項之方法,其中該薄含金屬材料之該 至屬主要包含鈦 '鈕、鎢與铪之一或多種。 38. t申請專利範圍第31項之方法,其中該薄含金屬材料 厚度小於或等於約15A。 39. t申請專利範圍第31項之方法,其中該薄含金屬材料 厚度小於或等於約10A。 40· 一種形成一電容器結構之方法,其包含: 在=電容器儲存節點上形成-介電層; 在邊介電層上形成一含金屬材料,形成的該含金 ;::&等於約70個原子層沈積(ALD)循環;以及 在該含金屬材料上形成導電摻雜石夕。 91770.doc 1233687 4 1.如申請專利範圍第4〇項之方法,其中該導電摻雜矽大部分 為η型摻雜。 4 2 ·如申睛專利範圍第4 〇項之方法,其中該介電層包含知、於 與鋁之一或多種。 43·如申請專利範圍第4〇項之方法,其中該含金屬材料包含氮 化鈦、氮化钽、氮化給與氮化鎢之一或多種。 44.如申請專利範圍第4〇項之方法,其中該含金屬材料包含石夕 化鈦、矽化鈕、矽化铪與矽化鎢之一或多種。 45·如申請專利範圍第4〇項之方法,其中該含金屬材料之該金 屬主要包含鈦、钽、铪與鎢之一或多種。 46·如申請專利範圍第4〇項之方法,其中該含金屬材料的一厚 度小於或等於約20Α。 47.如申明專利範圍第4〇項之方法,其中該含金屬材料的一厚 度小於或等於約15Α。 子 4 8 ·如申請專利範圍第4 〇項之方法,其中該含金屬材料的一严 度小於或等於約ι〇Α。 子 49·—種形成一電容器結構之方法,其包含: 形成一包含導電摻雜矽的電容器電極;以及 於該電容器電極與-電容器介電層之間提供_含 材料,該含金屬材料之-厚度不超過約20Α。 50.如申請專利範圍第叫之方法 為η型摻雜。 丫 大部分 5 1 ·如申凊專利範圍第49項之方法, 與鋁之一或多種。 其中該介電層包含包 铪 91770.doc 1233687 52·如申請專利範圍第49項之方法,其中該介電層包八一 鋁。 s氧化 53.如申請專利範圍第49項之方法,其中該含金屬材料之—亥一 屬主要包含鈦、鈕、銓與鎢之一或多種。 54·如申請專利範圍第49項之方法,其中該含金屬材料包含氮 化鈦、氮化钽、氮化铪與氮化鎢之一或多種。 虱 55·如申請專利範圍第49項之方法,其中該含金屬材料包含石夕 化鈦、矽化鈕、矽化銓與矽化鎢之一或多種。 S6·如申請專利範圍第49項之方法,其中該含金屬材料之該产 度小於或等於約15A。 〆予 57·如申請專利範圍第49項之方法,其中該含金屬材料之該厚 度小於或等於約1 〇 A。 58· —種電晶體裝置,其包含: 一閘極介電層,其位於一基板上; 一閘極堆疊,其位於該閘極介電層上,以及 源極/汲極區域,其鄰近該閘極堆4;且其巾該問極堆 疊包含: 一含金屬材料,其位於該介電層上,該含金屬材料之 一厚度不超過約2〇A;以及 一導電摻雜矽層,其位於該含金屬材料上。 59.如申請專利範圍第58項之方法,其中該導電摻雜矽大部分 為η型摻雜。 60·如申請專利範圍第58項之電晶體裝置’其中該介電層包含 鈕、铪與鋁之一或多種。 91770 doc 1233687 61 ·如申請專利範圍第58項之電晶體裝置,其中該介電人 氧化鋁。 0 3 62·如申請專利範圍第61項之電晶體裝置,其中該含金屬 實體緊靠該氧化鋁。 63·如申請專利範圍第61項之電晶體裝置,其中該介電層包含 位於二氧化矽之上的該氧化鋁。 64_如申請專利範圍第58項之電晶體裝置,其中該含金屬材料 之忒金屬主要包含鈦、鎢、鈕與銓之一或多種。 认如申請專利範圍第獅之電晶體裝置,其中該含金屬材料 主要包含氮化鈦、氮化鎢、氮化鈕與氮化铪之一或多種。 66·如申請專利範圍㈣項之電晶體裝置,其中該含金屬材料 之該厚度小於或等於約15A。 67.如申請專利範圍第58項之電晶體裝置,其中該含金屬材料 之該厚度小於或等於約loA。 68· 一種包含如申請專利範圍第58項之電晶體裝置之電子系 69·種互補式金氧半導體,其包含: 一介電層,其位於一基板上; MOS閘極與一NM〇s閘極,其位於該介電層上; 第一含金屬材料,其位於該pM〇s閘極之内及該介電 層之上,該第一含金屬材料之一厚度大於2〇a; 第一含金屬材料,其位於該NMOS閘極之内及該介電 曰之上4第一含金屬材料之一厚度小於或等於約20A ; 第層11型摻雜矽,其位於該PM0S閘極之内及該第 91770 doc 1233687 一含金屬材料之上;以及 一第二層η型摻雜矽,其位於該NM〇s開極之内及該第 二含金屬材料之上。 ,其中該介電 ’其中該介電 70.如申請專利範圍第的項之互補式金氧半導體 層包含鈕、銓與鋁之一或多種。 71·如申請專利範圍第的項之互補式金氧半導體 層包含氧化鋁。 72. 如申料利範圍第71項之互補式金氧半導體,其中該等第 一與第二含金屬材料實體緊靠該氧化鋁。 73. 如申請專利範圍第69項之互補式金氧半導體,其中該等第 一與第二含金屬材料彼此成分相同。 74·如申明專利範圍第73項之互補式金氧半導體,其中該等第 7與第二含金屬材料主要包含氮化鈦、氮化鈕、氮㈣與 鼠化給之一或多種。 75.如申請專利範圍第73項之互補式金氧半導體,其中該等第 一與第二含金屬材料主要包含矽化鈦、矽化鈕、矽化鎢與 碎化給之' 或多種。 76·如申請專利範圍第69項之互補式金氧半導體,其中該第一 含金屬材料之該厚度小於或等於約15 A。 77.如申請專利範圍第69項之互補式金氧半導體,其中該第一 含金屬材料之該厚度小於或等於約1 〇 A。 78·如申請專利範圍第69項之互補式金氧半導體,其中該第一 含金屬材料之該厚度大於或等於約1〇〇Α。 79.如申請專利範圍第69項之互補式金氧半導體,其中亨第 9l770.doc -9- 1233687 含金屬材料之該厚度大於或等於約15〇a。 8〇.如申請專利範圍第69項之互補式金氧半導體,复中兮第 含金屬材料之該厚度大於或等於約i5〇a,且复 二 含金屬材料之該厚度小於或等於約iu。 …- 81·—種包含如申請專利範圍第 電子系統。 9員之互補式金氧半導體的 8 2 · —種電容器結構,其包含: τ弟-電容器電極,該第一電容器電極包含導電摻雜 , 一"電層,其鄰近該第一電容器電極; 一第_電容器電極,其從該第一 罘甩谷态電極橫跨該介 電層;以及 一含金屬材料’其位於該第—電容器電極之該導電換 雜石夕與該介電層之間’該含金屬材料之—厚度小 於約20A 〇 83·如申請專利範圍第82項之電容器結構,其中該第二電容器 電極係該電容器的一儲存節點。 8 4.如申請專利範圍第8 3項之電容器結構,其中該第二電容器 電極包含粗糖石夕。 85. 如申請專利範圍第㈣之電容器結構,其中該導電捧雜石夕 大部分為η型掺雜。 86. 如申請專利範圍第82項之電容器結構,其中該介電層包含 組、給與鋁之一或多種。 8 7 ·女申明專利範圍第8 2項之電谷器結構,其中該介電層包含 91770.doc -10- 1233687 氧化紹。 88·如申請專利範圍第87項之。 、谷态、、、吉構,其中該含金屬材料 實體緊靠該氧化鋁。 萄㈣ 89·如申請專利範圍第82項之 ^ 、 谷為、、,口構,其中該含金屬材料 主要包含氮化鈦、氮化釦、备儿aa 、一 虱化鶴與氮化給之一或多種。 90·如申請專利範圍第82 、 包合杰結構,其中該含金屬材料 要“矽化鈦、矽化鈕、矽化鎢與矽化铪之一或多種。 91·如申請專利範圍第82項之電容器結構,其中該含金屬材料 之該厚度小於或等於約15A。 92.如申請專利範圍第82項之電容器結構,其中該含金屬材料 t该厚度小於或等於約10A。 9j.一種包含如申請專利範圍第82項之電容器結構2Dram。 •—種包含如申請專利範圍第93項之DRAM的電子系統。 91770 doc -11 -
TW093106473A 2003-03-12 2004-03-11 Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions TWI233687B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/388,103 US7019351B2 (en) 2003-03-12 2003-03-12 Transistor devices, and methods of forming transistor devices and circuit devices

Publications (2)

Publication Number Publication Date
TW200427055A TW200427055A (en) 2004-12-01
TWI233687B true TWI233687B (en) 2005-06-01

Family

ID=32962058

Family Applications (2)

Application Number Title Priority Date Filing Date
TW093100051A TWI255543B (en) 2003-03-12 2004-01-02 A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
TW093106473A TWI233687B (en) 2003-03-12 2004-03-11 Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW093100051A TWI255543B (en) 2003-03-12 2004-01-02 A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture

Country Status (8)

Country Link
US (4) US7019351B2 (zh)
EP (1) EP1604392B1 (zh)
JP (1) JP4352410B2 (zh)
KR (1) KR100699116B1 (zh)
CN (1) CN100388426C (zh)
AT (1) ATE535012T1 (zh)
TW (2) TWI255543B (zh)
WO (1) WO2004082005A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473250B (zh) * 2009-04-30 2015-02-11 Ibm 藉由閘極介質堆疊的修正之臨界電壓調整

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6613620B2 (en) * 2000-07-31 2003-09-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
KR100460273B1 (ko) * 2003-03-25 2004-12-08 매그나칩 반도체 유한회사 모스 바랙터의 제조방법
KR100502426B1 (ko) * 2003-09-18 2005-07-20 삼성전자주식회사 듀얼 게이트를 갖는 반도체 소자 및 그 형성 방법
JP4847874B2 (ja) 2004-01-30 2011-12-28 ユニベルシテ ラバル マルチユーザ適応型アレイ受信機および方法
KR100634167B1 (ko) * 2004-02-06 2006-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7514360B2 (en) * 2004-03-17 2009-04-07 Hong Yu Yu Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7592678B2 (en) * 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7145208B2 (en) * 2004-06-25 2006-12-05 United Microelectronics Corp. MOS transistor having a work-function-dominating layer
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
WO2006030522A1 (ja) * 2004-09-17 2006-03-23 Sharp Kabushiki Kaisha 薄膜半導体装置及びその製造方法
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7297588B2 (en) * 2005-01-28 2007-11-20 Freescale Semiconductor, Inc. Electronic device comprising a gate electrode including a metal-containing layer having one or more impurities and a process for forming the same
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
KR100706244B1 (ko) 2005-04-07 2007-04-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US7361538B2 (en) * 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
JP2007019400A (ja) * 2005-07-11 2007-01-25 Renesas Technology Corp Mos構造を有する半導体装置およびその製造方法
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7989290B2 (en) * 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) * 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
JP2007088122A (ja) * 2005-09-21 2007-04-05 Renesas Technology Corp 半導体装置
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
KR100662850B1 (ko) * 2006-02-02 2007-01-02 삼성전자주식회사 복수 개의 금속층을 적층한 반도체 소자
US7446026B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductor, Inc. Method of forming a CMOS device with stressor source/drain regions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7544559B2 (en) * 2006-03-07 2009-06-09 Micron Technolog, Inc. Methods of forming semiconductor constructions
KR100762238B1 (ko) * 2006-03-21 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 이의 형성 방법
JP4967407B2 (ja) * 2006-03-29 2012-07-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US8153502B2 (en) * 2006-05-16 2012-04-10 Micron Technology, Inc. Methods for filling trenches in a semiconductor material
KR100788371B1 (ko) * 2006-08-02 2008-01-02 동부일렉트로닉스 주식회사 플래시 메모리 소자 제조 방법
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7432548B2 (en) * 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
KR100843230B1 (ko) * 2007-01-17 2008-07-02 삼성전자주식회사 금속층을 가지는 게이트 전극을 구비한 반도체 소자 및 그제조 방법
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
KR100868768B1 (ko) * 2007-02-28 2008-11-13 삼성전자주식회사 Cmos 반도체 소자 및 그 제조방법
US7435652B1 (en) * 2007-03-30 2008-10-14 International Business Machines Corporation Integration schemes for fabricating polysilicon gate MOSFET and high-K dielectric metal gate MOSFET
US7642616B2 (en) * 2007-05-17 2010-01-05 Micron Technology, Inc. Tunnel and gate oxide comprising nitrogen for use with a semiconductor device and a process for forming the device
KR100852212B1 (ko) * 2007-06-12 2008-08-13 삼성전자주식회사 반도체 소자 및 이를 형성하는 방법
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
JP2009111222A (ja) * 2007-10-31 2009-05-21 Renesas Technology Corp 半導体装置およびその製造方法
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
JP5104373B2 (ja) * 2008-02-14 2012-12-19 日本ゼオン株式会社 位相差板の製造方法
US7700469B2 (en) * 2008-02-26 2010-04-20 Micron Technology, Inc. Methods of forming semiconductor constructions
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US8324090B2 (en) * 2008-08-28 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve dielectric quality in high-k metal gate technology
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US8124515B2 (en) * 2009-05-20 2012-02-28 Globalfoundries Inc. Gate etch optimization through silicon dopant profile change
US8518758B2 (en) * 2010-03-18 2013-08-27 Globalfoundries Inc. ETSOI with reduced extension resistance
CN102939657B (zh) * 2010-06-10 2016-08-10 应用材料公司 具有增强的离子化和rf功率耦合的低电阻率钨pvd
US8354703B2 (en) 2010-07-15 2013-01-15 International Business Machines Corporation Semiconductor capacitor
US9276004B2 (en) * 2012-03-30 2016-03-01 Broadcom Corporation ROM arrays having memory cell transistors programmed using metal gates
KR101977286B1 (ko) * 2012-12-27 2019-05-30 에스케이하이닉스 주식회사 듀얼 일함수 게이트스택, 그를 구비한 반도체장치 및 제조 방법
US9218976B2 (en) * 2013-08-13 2015-12-22 Globalfoundries Inc. Fully silicided gate formed according to the gate-first HKMG approach
FR3011382B1 (fr) * 2013-09-27 2019-03-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un circuit integre

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3228702A (en) * 1961-11-02 1966-01-11 Union Tank Car Co Inflatable seal for floating roof
JP3104534B2 (ja) * 1994-06-27 2000-10-30 ヤマハ株式会社 半導体装置とその製法
US5705428A (en) 1995-08-03 1998-01-06 Chartered Semiconductor Manufacturing Pte, Ltd. Method for preventing titanium lifting during and after metal etching
JPH10173169A (ja) * 1996-12-16 1998-06-26 Toshiba Corp 半導体装置及びその製造方法
TW389944B (en) * 1997-03-17 2000-05-11 United Microelectronics Corp Method for forming gate oxide layers with different thickness
JPH10303412A (ja) 1997-04-22 1998-11-13 Sony Corp 半導体装置及びその製造方法
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
KR100276389B1 (ko) 1998-07-03 2000-12-15 윤종용 커패시터 및 그 제조방법
JP3189813B2 (ja) * 1998-11-30 2001-07-16 日本電気株式会社 半導体装置の製造方法
JP3287403B2 (ja) 1999-02-19 2002-06-04 日本電気株式会社 Mis型電界効果トランジスタ及びその製造方法
US6319832B1 (en) 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6255698B1 (en) 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US20020063711A1 (en) * 1999-05-12 2002-05-30 Imove Inc. Camera system with high resolution image inside a wide angle view
JP2000349258A (ja) * 1999-06-08 2000-12-15 Mitsubishi Electric Corp メモリセル並びにその制御方法及び製造方法
JP3480373B2 (ja) * 1999-07-07 2003-12-15 松下電器産業株式会社 トレイフィーダにおける部品供給高さ位置の補正方法
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6573160B2 (en) 2000-05-26 2003-06-03 Motorola, Inc. Method of recrystallizing an amorphous region of a semiconductor
JP2001339061A (ja) 2000-05-30 2001-12-07 Univ Nagoya Mosデバイス及びその製造方法
JP2002009169A (ja) * 2000-06-20 2002-01-11 Nec Corp 半導体装置とその製造方法
US6228721B1 (en) * 2000-06-26 2001-05-08 Advanced Micro Devices, Inc. Fabrication of metal oxide structures with different thicknesses on a semiconductor substrate
JP2002057287A (ja) * 2000-08-09 2002-02-22 Rohm Co Ltd 半導体装置
US7217615B1 (en) 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US6436749B1 (en) 2000-09-08 2002-08-20 International Business Machines Corporation Method for forming mixed high voltage (HV/LV) transistors for CMOS devices using controlled gate depletion
JP3636978B2 (ja) * 2000-09-18 2005-04-06 住友重機械工業株式会社 ディスク成形装置
JP2002124650A (ja) * 2000-10-17 2002-04-26 Hitachi Ltd 半導体集積回路装置の製造方法
KR100351907B1 (ko) 2000-11-17 2002-09-12 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
JP3547419B2 (ja) * 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6693333B1 (en) 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
JP2003023152A (ja) 2001-07-10 2003-01-24 Sony Corp Mis型トランジスタ及びその製造方法
US6696345B2 (en) 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
KR100464650B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US6818500B2 (en) * 2002-05-03 2004-11-16 Micron Technology, Inc. Method of making a memory cell capacitor with Ta2O5 dielectric
US6617210B1 (en) 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20040005003A1 (en) 2002-07-02 2004-01-08 Koninklijke Philips Electronics N.V. Quality improvement for FGS BL coding with U/V coarse quantization
US7030024B2 (en) 2002-08-23 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
KR100477807B1 (ko) * 2002-09-17 2005-03-22 주식회사 하이닉스반도체 캐패시터 및 그의 제조 방법
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
KR100502426B1 (ko) * 2003-09-18 2005-07-20 삼성전자주식회사 듀얼 게이트를 갖는 반도체 소자 및 그 형성 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473250B (zh) * 2009-04-30 2015-02-11 Ibm 藉由閘極介質堆疊的修正之臨界電壓調整

Also Published As

Publication number Publication date
JP4352410B2 (ja) 2009-10-28
EP1604392B1 (en) 2011-11-23
WO2004082005B1 (en) 2004-11-04
US20040178432A1 (en) 2004-09-16
US7081656B2 (en) 2006-07-25
CN100388426C (zh) 2008-05-14
JP2006515471A (ja) 2006-05-25
US20040180487A1 (en) 2004-09-16
US7126181B2 (en) 2006-10-24
TW200427055A (en) 2004-12-01
EP1604392A1 (en) 2005-12-14
CN1784769A (zh) 2006-06-07
TW200428638A (en) 2004-12-16
US7253053B2 (en) 2007-08-07
US7019351B2 (en) 2006-03-28
KR20050108380A (ko) 2005-11-16
US20040178458A1 (en) 2004-09-16
WO2004082005A1 (en) 2004-09-23
KR100699116B1 (ko) 2007-03-21
TWI255543B (en) 2006-05-21
ATE535012T1 (de) 2011-12-15
US20050101078A1 (en) 2005-05-12

Similar Documents

Publication Publication Date Title
TWI233687B (en) Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions
TWI624060B (zh) 具有鎢閘極電極的半導體裝置及其製造方法
US7217630B2 (en) Methods of forming hafnium oxide
JP4465413B1 (ja) 誘電体膜、誘電体膜の製造方法、半導体装置、および、記録媒体
US8603877B2 (en) Methods of forming dielectric material-containing structures
JP2008521249A (ja) スケーラブル集積論理および不揮発性メモリ
JPH11251593A (ja) ゲルマニウム層を使用した大誘電率ゲートの構造と方法
KR101977286B1 (ko) 듀얼 일함수 게이트스택, 그를 구비한 반도체장치 및 제조 방법
US20140183649A1 (en) Semiconductor device having metal gate and high-k dielectric layer and method for manufacturing the same
CN113745327A (zh) 叠层结构体以及包括其的半导体器件、半导体设备和电子设备、和制造叠层结构体的方法
US7538001B2 (en) Transistor gate forming methods and integrated circuits
JP6872132B2 (ja) 半導体記憶素子、半導体装置、電子機器、および半導体記憶素子の製造方法
TW402809B (en) The manufacture method of electrical charge storage structure
JP2001257327A (ja) 半導体装置およびその製造方法
EP1652223B1 (en) Methods of forming deuterated silicon oxynitride-containing materials
CN113544814A (zh) 包括在两种或更多种不同半导体材料内扩散的氢的集成组合件及形成集成组合件的方法
US7300852B2 (en) Method for manufacturing capacitor of semiconductor element
CN102820327A (zh) 一种半导体结构及其制造方法
KR101212568B1 (ko) 반도체소자의 게이트스택 및 그 제조 방법
CN117042462A (zh) 铁电随机存储器单元和非易失存储器
CN117042461A (zh) 铁电晶体管单元和制备方法
KR20040050406A (ko) 커패시터 유전막을 갖는 반도체 소자 및 그 제조방법
JP2001127261A (ja) 強誘電体メモリ
WO2000036652A2 (en) Method of manufacturing a gate electrode
JP2005209872A (ja) 容量素子の製造方法