TWI255543B - A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture - Google Patents

A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture Download PDF

Info

Publication number
TWI255543B
TWI255543B TW093100051A TW93100051A TWI255543B TW I255543 B TWI255543 B TW I255543B TW 093100051 A TW093100051 A TW 093100051A TW 93100051 A TW93100051 A TW 93100051A TW I255543 B TWI255543 B TW I255543B
Authority
TW
Taiwan
Prior art keywords
layer
insulating layer
source
region
wafer
Prior art date
Application number
TW093100051A
Other languages
English (en)
Other versions
TW200428638A (en
Inventor
Paul D Agnello
Byoung H Lee
Heemyong Park
Dominic J Schepis
Ghavam G Shahidi
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200428638A publication Critical patent/TW200428638A/zh
Application granted granted Critical
Publication of TWI255543B publication Critical patent/TWI255543B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Description

1255543
【發明所屬之技術領域] 本發明係關於一種互補式金氧半導體(CM〇S)裝置,特 別是,關於一種在超薄絕緣層上矽製造具有增高式源/沒 極層之互補式金氧半導體裝置。 二、【先前技術】 於CMOS發展中發現到習知以矽選擇性磊晶之增高式源 極/沒極(RSD)層的問題。已發展出一些解決方案,如形成 消耗較少量矽的N i S i,但仍有一些限制如熱穩定性差。再 者,於絕緣層上薄膜矽基材上形成具有增高式源極/汲極 層之高性能CMOS裝置的習知製程具有下列的問題。首先, 以矽選擇性磊晶形成增高式源極/汲極層極具挑戰性。增 高式源極/汲極的習知製程包含於高溫(一般>825°C )選擇 性蠢晶成長,以及於摻雜的源極/沒極表面的前清潔製輕 之化學蝕刻/清潔製程。磊晶製程習知為阻礙製造具有增 南式源極/沒極於超薄絕緣層上碎之CMOS裝置的技術挑戰 的因素。首先’南溫循j哀造成換雜質(源極/没極延伸及環 區(S/D extension and halo))的瞬間增強擴散 (transient enhanced diffusion, TED),其係於蠢晶步 驟前即介入通道區域。習知如此會造成顯著的短通道效 應,如臨界電壓(Vth)下滑(rolloff)。 其次,磊晶層及於基材上存在的源極/汲極區域之間 的介面可造成相當大的變異性,且使得蠢晶製程後形成的
4IBM03138TW.ptd 第8頁 1255543 五、發明說明(2) 金屬石夕化物層缺乏一致性,亦會增加源極/沒極阻值。再 三,前清潔製程會破壞薄的淺溝渠隔離區域,其係亦由氧 化物所形成。第四,形成於間隙壁上的磊晶層(面)的殘 留,於磊晶製程期間可能改變於磊晶製程後植入之源極/ 沒極摻雜質的分佈。因此對裝置性能造成負面的影響。總 之,於CMOS裝置的製程中,習知的磊晶製程涉及複雜的表 面化學作用。再者,如此使得產業中的CMOS量產不容易實 施。 因此’需要一種創新的在超薄絕緣層上;e夕製造具有增 高式源/汲極層之互補式金氧半導體裝置及其製造方法, 以克服習知製程及結構的限制。 【發 綜觀 電晶 晶圓 上、 矽晶 層及 鄰接 係高 隙壁 且源 上石夕 上石夕 晶圓 層上 植入 區域 表面 緣間 度, 明内容】 上述,本發明提供一種增高式源極/汲極絕緣層 體裝置,包含一埋入式氧化(Β〇χ)層、一絕緣層 於埋入式氧化層上、一閘極介電層於絕緣層上矽 一閘極區域於閘極介電層上、一植入層鄰接絕緣 圓,植入層包一沉積的材料、源極/汲極區域於 絕緣層上石夕晶圓上方、以及一淺溝渠隔離(STI) 源極/汲極區域,其中淺溝渠隔離區域具有一上 於閘極介電層之上表面。此裝置更包含至少一絕 包圍閘極區域。絕緣層上矽晶圓具有一預定厚 極/汲極區域具有一厚度係大於絕緣層上矽晶圓
第9頁 I255543 " ----- 角 予又 此外,淺溝渠隔離區域具有大體為圓形的 再其中淺溝渠隔離區域鄰接(borders)源極/汲極區域。 沒極i推雜質層包含多晶矽及非晶矽之一。此外,源極/ 包2區域無磊晶相關的缺陷。換句話說,源極/汲極區域 補二 非磊晶材料。選替地,發明之一實施例提供一種互 緣^金氧半導體(CM〇S)裝置’包含一埋入式氧化層、一絕 預二^矽晶圓於埋入式氧化層上,絕緣層上矽晶圓具有一 層5厚度、一間極結構於絕緣層上石夕晶圓上、一閘極介電 入^,極結構及絕緣層上矽晶圓之間,閘極介電層位於埋 圓,氧化層上之一第一高度、一植入層鄰接絕緣層上矽晶 ’植入層包一沉積的材料、源極/汲極區域於植入層及 於=層上矽晶圓内,其中源極/汲極區域具有一厚度係大 右、f緣層上矽晶圓之預定厚度、以及一淺溝渠隔離區域具 泪3體為圓形的角,且位於埋入式氧化層上方,其中淺溝 二隔離區域之一上表面係高於埋入式氧化層上之第一高 ς爲cmos震置更包含至少一絕緣間隙壁包圍閘極結構。絕 试曰上石夕晶圓之預定厚度係小於5 5奈米,且源極/汲極區 s之厚度係於200-30 0埃之範圍。又,摻雜質層包含多晶 石夕及非晶矽之一。 人”一種形成一互補式金氧半導體(CM0S)裝置之方法,包 3 /儿積一絕緣層上矽(s〇I )晶圓於一埋入式氧化(Β〇χ)基材 ^方,其中絕緣層上矽晶圓具有一預定厚度;形成一閘極 ”电層於絕緣層上矽晶圓上;形成一淺溝渠隔離(ST 〇區
1255543 五、發明說明(4) ί = 氧:基材上’其中淺溝渠隔離區域具有-大體 ”、、7 、角,形成一閘極結構於閘極介電 入層於絕緣層上矽曰圓卜·拙> _ Ώ电層上,/儿積植 植入於絕緣it 仃型及Ρ型之其—的摻雜質 入層及絕緣;上:t ί及植入層内"乂及加熱装置以自植 汲極區域且;士: 形成源極及汲極區域,纟中源極及 苴中閘極二:展度係大於絕緣層上矽晶圓之預定厚度; 八1桎"電層位於較淺溝渠隔離區域為低。 再者本:ί : 5含形成至少一絕緣間隙壁包圍閘極結構。 曰匕含多晶矽及非晶矽之一。此外 社 ==積7第一閘極多晶石夕層於絕緣層上矽晶;; 於氧化墊上·&第一閘極多晶矽層上;沉積一犧牲氮化層 化層上。絕绫:t沉積一犧牲第二閘極多晶矽層於犧牲氮 搞層矽晶圓之預定厚度係小於55奈米。源 / 區域之厚度係於200-30 0埃之範圍。 ^ ί ί Γ提,一種獨特的解決方案,對於在超薄絕緣層 -/皿增同式源極/汲極的形成、閘極後摻、 源極/汲極及客曰访拟攸 古次夕曰日石夕摻雜、、以及閘極堆疊高度的降低,一 八有咼的可用性及可製造性。相較於習知裝置及製程, 發明具有許多顯著不同的特徵。首先,本發明於淺溝準 :離Ϊ面及閉極介電層介面之間提供-高度差(階梯); 省头裝置固有以蠢晶為基礎的增高式源極/沒極特 性,如面、多晶矽晶粒、氧的面劑濃度、以及於多晶矽閘
1255543
極上的選擇性蠢晶橫向成長,係不存在於本發明。此外, 依據本發明,非磊晶增高式源極/汲極多晶矽層係全範圍 地形成於淺溝渠隔離區域及主動區域上方。 本發明達成下列的優點。本發明藉由形成無需磊晶的 增高式源極/汲極,解決所有於超薄絕緣層上矽之⑽㈧的 選擇性磊晶增高式源極/沒極的基本問題。同時,本發明 利用於源極/汲極上之多晶矽為緩衝層,後摻雜多晶矽閘 極。再者,本發明係利用與增高式源極/汲極多晶矽平坦 化相同的化學機械研磨,導致多晶矽閘極高度的降低。再 者,對咼性成邏輯CMOS裝置的製造,本發明達成於超薄絕 緣層上石夕之增高式源極/汲極,其係具有較習知閘極結構 更積極的尺寸。此外,本發提供一種隔離源極/汲極電極 的方法,係藉由階梯化淺溝渠隔離且利用淺溝渠隔離表面 為標記回蚀刻多晶矽。此外,本發明提供一種解決與圍繞 淺溝渠隔離邊緣之階梯狀角的多晶矽軌相關的問題,係於 墊氧化層蝕刻及襯層氧化時圓化此角。 四、【實施方式】 如前所述,需要一種創新的在超薄絕緣層上矽製造具 有增高式源/汲極層之互補式金氧半導體裝置及其製造方 法,以克服習知製程及結構的限制。本發明提供、一種形成 縮小的CMOS結構於非常薄的絕緣層上矽具有增高式源極淚 極層’係藉由多晶係沉積以及利用新設計的回制製輕’
1255543 五、發明說明(6) 而非用於習知製程的矽選擇性磊晶。 為方便說明,僅繪示一半的CMOS裝置1、2於圖式中 (例如,僅繪示源極或汲極區域)。圖1 5A及1 5B則呈現整個 結構。熟習技術之人士應明白實際上每一裝置1、2存在著 鏡像結構(例如,對應的沒極或源極區域分別存在於閘極 結構4 0的另一側)。本發明一般以下列方式執行。以圖1 5 a 所示的N型塲效電晶體(NFET)裝置:1為例,一電信號由源 極/汲極區域79(a)、79(b)進入,且通過通道區域2 0到達 互補的源極/沒極區域7 9 ( a )、7 9 ( b )。如上所述,僅一半 的CMOS裝置卜2繪示於圖1 A至圖14B。CMOS裝置卜2包含 一淺溝渠隔離區域3 5具有大體為圓形的角37,且形成於一 埋入式氧化層1 〇上方,以及一閘極介電層2 5作為閘極4 〇及 源極/沒極區域7 9 ( a )、7 9 ( b )間的傳導阻障層。本發明之 一獨特的特徵為淺溝渠隔離區域3 5之上表面3 6係結構上高 於閘極介電介面25。如此設計的好處是因為於製程時,即 使在回蝕刻製程之後移除大部分的植入層6 5,升高的淺溝 渠隔離區域35允許保留植入層。65的一部份。如此實質上允 ^ ϊί f製程,有助於去除摻雜f的瞬間增強擴散效應, 亦降低短通道效應,如臨界電壓(vth)下滑「此外,大 體上曲型的淺溝渠隔離的角^ 九,再示⑽雕J月d 7沩減在多晶矽沉積後留在淺 月6 溝^隔離上的多晶矽殘留量,藉此改進裳置性 參考圖式’ m別參考圖呢18,纟係本發明之較
1255543 ____ 五、發明說明⑺ 一 ~ 佳實施例。本發明提供之NFET製程進行的步驟詳細繪示於 圖1A至15A,而本發明提供之pFET製程進行的步驟詳細繪 示於圖1B至15B。特別如圖1A(NFET)& 1B(pFET)所示,一 超薄的絕緣層上矽晶圓20 (如通道區域),其較佳為厚度小 於5 5奈米’係位於一埋入式氧化層1 〇上。之後,依序沉積 一氧化塾2 5及氮化層3 0於絕緣層上石夕晶圓2 0上。氧化墊2 5 為一犧牲層,用以保護一通道區域26(示於圖5A及5B),且 於濕餃刻移除時,亦用以協助形成淺溝渠隔離區域35的圓 角(示於圖2 A及2 B )。氮化層3 0於後續的蝕刻製程·中會被移 除’其厚度較佳為尺寸化以接近等於後續增高式源極/汲 極層的目標厚度,其較佳約2 0 〇 - 3 0 0埃。 製程的下一步驟示於圖2A(NFET)及2B(PFET),於氮 化/氧化/絕緣層上矽堆疊1 5後,罩幕且蝕刻一淺溝渠隔離 區域3 5。此製程包含淺溝渠隔離襯層氧化,以助於形成淺 溝渠隔離的圓角37,如後續圖4A及4B所示。 然後,如圖3A(NFET)及3B(PFET)所示,利用濕蝕刻製 程移除氮化層30,藉此以淺溝渠隔離區域35配置一階梯, 使得淺溝渠隔離表面3 5高於絕緣層上矽表面2 0。之後,利 用濕蝕刻製程移除氧化層2 5,且同時圓化角3 7,較佳如圖 4A(NFET)及4B(PFET)所示。圓化淺溝渠隔離35的角37的製 程避免於下個步驟中發生多晶矽執的問題。多晶矽軌的問 題涉及在反應性離子蝕刻後,留下閘極多晶矽的殘留於淺
4IBM03138TW.ptd 第14頁 1255543 、發明說明(8) 溝渠隔離邊界,因此負面影響裝置的性能。因此,藉由圓 化淺溝渠隔離3 5的角3 7,本發明較習知無圓化的淺溝渠隔 離區域之裝置達到較佳的裝置性能。於製程的此步驟,淺 溝渠隔離區域3 5於絕緣層上矽表面2 0上方的階梯高度較佳 約為3 0 〇埃。 圖5A(NFET)及5B(PFET)繪示本發明的下一步驟。一多 晶石夕閘極堆疊40係利用四乙基正矽酸鹽(TEOS)硬遮罩(未 綠不)圖案化。多晶矽閘極堆疊4 〇係設計以於後續步驟中 可減低其高度。多晶矽閘極堆疊4 0的形成係利用沉積一多 晶石夕層42,較佳係具有目標縮小高度約為1 〇〇埃。然後, 依序沉積一氧化層44、一氮化層46及緩衝仿多晶矽層48。 接著’進行反應性離子蝕刻以形成閘極堆疊4 〇。假若淺溝 渠隔離3 5,係較佳約為3 〇 〇埃,於淺溝渠隔離3 5邊界造成 多晶石夕薄化,於多晶矽層42沉積後,可選擇性利用化學機 械研磨製程平坦化堆疊4〇,然後可沉積薄氧化層44、氮化 層46及緩衝仿多晶矽層48,且之後可進行反應性離子蝕刻 製程’以一致地定義閘極堆疊40於淺溝渠隔離35邊界Λ 方0 製程的下一步驟如圖6A(NFET)及6B(PFET)所示係為閘 極再氧化’其中一氧化或氮氧化絕緣層5〇係沉積於絕緣層 上石夕晶圓2 0、淺溝渠隔離區域3 5及多晶矽閘極堆疊4 〇上 方。此外,如圖6 A所示,一 N -延伸/ N -環植入發生於絕緣
1255543 五、發明說明(9) —- 層上矽晶圓20内。區域51表示為所致的^型未回火摻雜區 域,而區域5 2表示為所致的p型未回火摻雜區域。之後, 沉積一低溫氧化(LTO)帽蓋55於氧化/氮氧化絕緣層5〇上 方,較佳如圖7A(NFET)及7BCPFET)所示。選擇性二,可沿 多晶矽閘極40之侧壁形成一氮化間隙壁6〇。如圖7B所示, P-延伸/環植入發生於絕緣層上矽晶圓2 〇内。區域5 3表示 為所致的P型未回火摻雜區域,而區域5 4表示為所致的n型 未回火摻雜區域。
圖8A(NFET)及8B(PFET)繪示氮化間隙壁60的進一步形 成的製程,係利用快速熱化學氣相沉積(RTCVD),其中間 隙壁6 0被加寬。此外,移除除了介於間隙壁6 〇及絕緣層上 矽20間的部份以及介於間隙壁60及多晶矽閘極堆疊40間的 部份以外的低溫氧化帽蓋5 5及絕緣層50。製程的下一步驟 如圖9八(評£1')及98(卩『£1')所示,沉積(如非磊晶地)一層多 晶矽65於NFET裝置1及PFET裝置2上,較佳於低溫約62 0°C 或更低。多晶矽層6 5避免摻雜質遷移入通道2 0,係利用低 壓化學氣相沉積(LPCVD)。選替地,一非晶矽層(未繪示) 可較佳以低溫沉積於於裝置1、2上。因此,相對於習知源 極/没極結構利用蠢晶成長技術,換雜的源極/沒極導體6 5 係利用共形沉積技術形成。如此允許共形沉積層6 5無磊晶 缺陷。 接著,圖10A(NFET )及1 〇B(PFET )綠示利用化學機械研
4IBM03138TW.ptd 第16頁 1255543 五、發明說明(10) 磨製程平坦化多晶石夕層6 5 (例如,可使用習知〇 b s i d i a η化 學機械研磨製程),其中暴露出於多晶矽閘極4 0頂端的氮 化層46。假如並未使用圖5人及5B的製程中所述之選擇性化 學機械研磨製程,利用現行的0bsidian化學機械研磨製程 可能不會均勻地暴露氮化層46,係因為於圖3A及3B的製程 中所產生的淺溝渠隔離階梯3 5。於此例中,當暴露及平坦 化於氮化層46頂端之仿多晶矽層48時,Obsidian化學機械 研磨製程可選擇性地終止。之後,進行多晶矽層4 8的短回 蚀刻製程’以暴露氮化層4 6。再次說明,假如使用圖5 A及 5B的製程中所述之選擇性化學機械研磨製程,是不必要此 回餘刻製程。不論是使用上述的較佳製程或選擇性製程的 哪一種,其所致的結構如圖l〇A(NFET)A l〇B(pFET)所示, 於閘極結構4 0之氮化層4 6頂端的仿多晶石夕層4 8利用 Obsidian化學機械研磨製程移除,且其結果為多晶矽閘極 40的高度被減低至所欲達到的目標高度,同時形成了源 極/汲極遮擋多晶矽層6 5。 依據本發明製程的下一步驟,裝置卜2將進行閘極後 摻雜植入。更明確地說,如圖ua(nfet)及ub(pfet_ 示,裝置1、2經歷中性物種的前非晶化製程 (preamorphization),然後分別利用N型及p型摻 N閘極及P閘極摻雜。因此,於源極/汲極區域上的平坦仃 的多晶矽65作為緩衝層,以將閘極摻雜及源極/汲極拎 分開。區域66、67(與區域5卜54)表示N型未回火摻ς區
1255543 五、發明說明(11) 域,而域區域 區域。之後, 6 5經歷回蝕刻 於淺溝渠離氧 極/汲極區域< 低溫沉積,植 循環。因此, 瞬間增強擴散 的上表面3 6及 也就是說,於 閘極介電介面 在蝕刻製程移 晶矽層6 5,因 68、69(與區域52、53)表示p型未回火摻雜 如圖12A(NFET)及12B(PFET)所示,多晶矽層 製程(乾蝕刻或選替地計時濕蝕刻),而停止 化表面35。殘留的多晶矽65包含增高式源 此外’因為多晶矽增高式源極/汲極6 5係於 入的延伸及環摻雜質並未受到任何顯著的熱 了避免如刖所解釋蠢晶增高式源極/汲極的 的問題’再者,本發明於淺溝渠隔離區域35 閘極介電層25介面間提供了高度差(階梯)。 淺溝渠隔離區域3 5的上表面3 5係結構上高於 2 5。因為升高的淺溝渠隔離區域3 5允許即使 除大部分的多晶矽層65後,仍保留部分的多 此對摻雜質植入提供非磊晶層係為有益的。 於圖13A(NFET)中,NFET 1經歷NFET源極/汲極(NSD) 砷植入。於此藉由執行砷植入係利用既存的薄氮化間隙壁 60,延伸/NSD掺雜係盡可能的靠近閘極通道26,因此最 大化杈向摻雜程度而不傷害短通道特性,因為相較於p F E T 中的硼而曰’石申係低擴散質。因此,區域7 1與區域5 1、6 7 表示為所致的N-型未回火的摻雜區域。繪示於圖13β之 P F E T係保持於先前步驟未改變。 於圖14A(NFET)及14B(PFET)中,利用RTCVD沉積一第 二氮化間隙壁6 1係相鄰第一氮化間隙壁6 〇。之後,於過蝕
4IBM03138TW.ptd 第18頁 1255543 五、發明說明(12) 刻(overetch)時,RIE製程移除多晶矽閘極40頂端的薄保 護性氮化層4 6。假如此過蝕刻影響增高式源極/汲極層 71、72的表面,則在最終RTCVD氮化間隙壁沉積前,選擇 性地沉積一 LT0帽蓋層(未繪示),以保護增高式源極/汲極 層7 1、7 2。圖1 4 B顯示一 P F E T源極/沒極(P S D )爛/ B F 2植 入,接著形成最終氮化間隙壁6 1,其於後續最終熱循環時 係提供足夠的橫向閒距以最小化於PFET元件2的硼橫向侵 姓。區域7 2與區域5 3、6 9表示為所致的p _型未回火的摻雜 區域,而區域54表示所致的N-型未回火的摻雜區域。 之後,如圖15A(NFET)及15B(PFET)所繪示,裝置卜2 經歷一最終快速熱回火(RTA)製程,以回火所有摻雜質, 且較佳於溫度9 5 0 -1 1 5 (TC。因為非磊晶增高式源極/汲極 ^、72係形成於非常低的溫度,且先前並未有其他的熱循 裱,RTA製程所有在裝置!、2中摻雜質的是唯一的回火製 程。因此’本發明對高性能之深次〇·丨微米的CM0S裝置製 造而言’提供摻雜質絕對最小的重分佈。區域73、85、86 (不於圖15A)及區域87(示於圖15B)表示所致的N-型活化摻 雜區域,而區域74 (示於圖15A)及區域75、88、89 (示於圖 15B)表不為所致的p—型活化摻雜區域。區域7〇表示為裝置 1、2的主動區域。裝置的不同區域經歷不同的摻雜、植入 及回火製程,導致新的材料性質及物理結構。例如,示於 圖1 3 A的區域6 7變成圖1 5 a的區域7 3。此外,示於圖1 3 A的 區域5 2變成圖15 A的區域74。同時,示於圖13 A的區域51的
4IBM03138TW.ptd 第19頁 1255543 五、發明說明(13) 一部份變成圖15 A的區域85。再者,示於圖13 A的區域51的 另一部份變成圖1 5A的區域86。又,示於圖1 4B的區域69變 成圖1 5 B的區域7 5。同樣地,示於圖1 4 B的區域5 4變成圖 1 5 B的區域8 7。此外,示於圖1 4 B的區域5 3變成圖1 5 B的區 域88。再者,示於圖14B的區域65變成圖15B的區域89。 最後,示於圖13A及圖14B的區域7卜72分別變成圖15A及 圖15 B的區域79(a)、79(b)。於此之後,石夕金屬化及後端 製程完成程序(未繪示)。 一種製造裝置1、2的方法繪示於圖1 6的流程圖,其中 此方法包含形成100STI區域35鄰接一 SOI晶圓20,其中SOI 晶圓20具有一 NFET區域51及一 PFET區域53,且其中淺溝渠 隔離區域3 5具有一大體為圓形的角37。接下來的步驟包含 形成110—閘極結構40於SOI晶圓20,執行120 N-延伸及N-環植入於SOI晶圓之NFET區域51,且執行130 P-延伸及p-環植入於SOI晶圓之PFET區域53。之後,一非磊晶植入層 6 5,較佳為包含多晶石夕及非晶石夕之其一,係於一極小溫度 約620°C或更低之溫度,沉積於SOI晶圓上。製程的下一步 驟涉及植入1 5 0閘極後摻雜植入於裝置1、2。選擇性地, 此方法包含形成1 5 5至少一絕緣間隙壁6 0、6 1鄰接閘極結 構4 0。然後,執行1 6 0 N型及P型源極/汲極植入於植入層 6 5内。最後,裝置1、2歷經回火製程1 7 0係於一升高的溫 度約於9 5 0 - 1 1 5 0°C的範圍。
4IBM03138TW.ptd 第20頁 1255543
如圖1 7所示的流程圖,閘極結構4〇係沉積i丨2一第一 閘極多晶矽層42於絕緣層上矽晶圓2〇上,沉積114一氧化 墊於第一閘極多晶矽層42上,沉積116一犧牲氮化層46 於氧化墊44上,以及沉積!丨8一犧牲第二閘極多晶矽層48 於犧牲氮化層46上。 再者,本發明提供一種製造CMOS裝置卜2的方法,如 圖18之流程圖所示,其中此方法包含沉積2〇〇一 s〇i晶圓2〇 於一埋入式氧化(BOX)基材1 〇上方,其中絕緣層上矽晶圓 2 〇具有一預定厚度。下一步驟涉及形成一閘極介電層2 5於 絕緣層上石夕晶圓2 0上。接下來,形成2 2 〇一淺溝渠隔離區 域3 5於埋入式氧化基材丨〇上,其中淺溝渠隔離區域3 5具有 一大體為圓形的角3 7,且其中閘極介電層2 5係位於較淺溝 渠隔離區域3 5為低。然後,形成2 3 〇一閘極結構4 〇於閘極 介電層2 5上’且一非磊晶植入層6 5沉積2 4 〇於絕緣層上矽 晶圓20上。製程的下一步驟包含執行25〇 n型及p型摻雜質 植入於絕緣層上矽晶圓2 〇及植入層6 5内,以及加熱2 6 0裝 置1、2以自植入層6 5及絕緣層上矽晶圓2 〇形成源極及汲極 區域85、86、88、89,其中源極及汲極區域85、86、88、 89具有一厚度係大於絕緣層上矽晶圓2〇之預定厚度。此方 法更包含形成至少一絕緣間隙壁6〇、61包圍閘極結構40以 及於一升高溫度回火280 CMOS裝置1、2。 本發明提供一種獨特的解決方案,係為形成低溫增高
1255543 五、發明說明(15) 式源極/ j:及極於超簿$ 〇丨、 B ^ ^ ^ 、,β 〇I閘極摻雜、分離源極/汲極及多 曰曰石夕摻雜,以及降低閘極堆聂古 * ^ 及可製造性…本發明卜起為高可用性 徵,以下描述其中一此。;及製程的幾個突出特 二==之間’㊣供一高度差。因為-升高的sn 留=大部分的多晶石夕層65的回蚀刻製程後,保 部份,因此對摻雜質植入提供非蠢晶層 源極/汲極特性,如而夕B & 磊日日為基礎的增同式 及於多曰欲Ρϋ如面、夕日日矽晶粒、氧的面劑濃度、以 石夕芦入依據本發明,非蠢晶增高式源極/汲極多晶 曰5,王範圍地形成於淺溝渠隔離區域35及主動區域上 85、於矽金屬化製程後,源極/汲極區域(全體地為 、8、89)於主動區域79(a)、79(b)間為短路。 本發月般以下列方式操作。以圖1 5 Α中的N F Ε Τ裝置1 、、电仏旎於源極/汲極區域7 9 (a )、7 9 ( b)進入,且 f f通道區域2 0到達互補的汲極/源極區域7 9 ( a )、7 9 b °因此’本發明作用與傳統的電晶體作用一樣。然 =备褒置^ 2包含一 STI區域35係具有一大體為圓形 ' >成於一 BOX^及一閘極介電介面25上方,係作為 日1和4 0及源極/沒極區域7 $、8 5、8 6間的傳導屏障。本^ 明之獨特特徵為ST I區域3 5之上表面3 6係結構性地高於 閘極介雷八 w々、 "面2 5。如此為有益的,因為於製程期間,升高
1255543 五、發明說明(16) 的心溝ίκ隔離區域3 5允终即使在後續回钱刻製程移除大部 分的多晶矽層65後,仍保留部分的多晶矽層65。如此實質 上允許一非磊晶基礎製程,有益於去除摻雜質的瞬間增強 擴散效應,並且減少短通道效應,如臨界電壓(v t卜)下 滑。此外,一大體為曲化的ST I角3 7降低在多晶係沉積後 剩餘在S T I上的多晶石夕殘留物的量,藉此改進元件性能。 、本發明達到以下的優點。本發明藉由形成無需磊晶的 增高式源極/汲極,解決所有於超薄絕緣層上矽之CMOS的 選擇性磊晶增高式源極/汲極的基本問題。同時,本發明 利用於源極/没極上之多晶矽為緩衝層,後摻雜多晶矽閘 極。再者’本發明係利用與增高式源極/汲極多晶矽平坦 化相同的化學機械研磨,導致多晶矽閘極高度的降低。 者,對高性能邏輯CM0S裝置的製造,本發明達成於超 緣層上矽之增高式源極/汲極,其係具有較習知閘極結構 更積極的尺1。此外,本發提供一種隔離源極/汲極^極 的方法,係藉由階梯化淺溝渠隔離且利用淺溝渠隔 為標記回蝕刻多晶矽。此外,本發明提供一種解決盎= 淺溝渠隔離邊緣之階梯狀角的多晶矽執相關的問題,、、、’ 墊氧化層蚀刻及襯層氧化時圓化此角。 ’、於 綜上所述之優點,本發明利用SO I基材藉經由於非A 低的溫度建造增高式源極/汲極區域,使能製造最大二 能的CM0S裝置,因此完全地避免許多問題,如在薄S0I上
1255543
五、發明說明(17) 形成金屬矽化物、短通道降級,以及高、、W +啊± w /皿之選擇性吞日辦 高式源極/汲極製程於磊晶基材介面所引如μ 曰 問題等。 "起的缺陷相關的 以上所述僅為本發明之較佳實施例 ^ 之人士應明瞭凡其它未脫離本發明所揭干 t f此技術 丨判不之精神下所完成 之等效改變或修飾,均應包含在下述之申&奎^ 、肀喷專利範圍内。 此外’為使容易瞭解本發明,於圖式中僅繪示一半的CM0S 裝置1、2 (例如,僅緣示源極或沒極區域)。熟習技術之人 士應明白實際上每一裝置1、2存在著鏡像結構(例如,對 應的沒極或源極區域分別存在於閘極結構4 0的另一侧)。
4IBM03138TW.ptd 第24頁 1255543 圖式簡單說明 五、【圖式簡單說明】 本發明由較佳實施例的詳細說明配合圖式可更加的了 解: 圖1 A係本發明CMOS裝置之部分完成NFET元件之示意 圖, 圖1B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖2A係本發明CMOS裝置之部分完成NFET元件之示意 圖, 圖2B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖3A係本發明CMOS裝置之部分完成NFET元件之示意 回 · 圖, 圖3B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖4A係本發明CMOS裝置之部分完成NFET元件之示意 圖, 圖4B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖5A係本發明CMOS裝置之部分完成NFET元件之示意 圖; 圖5B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖6A係本發明CMOS裝置之部分完成NFET元件之示意
4IBM03138TW.ptd 第25頁 1255543 圖式簡單說明 圖; 圖6B係本發明CMOS裝置之部分完成PFET元件之示意 圖, 圖7A係本發明CMOS裝置之部分完成NFET元件之示意 圖; 圖7B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖8A係本發明CMOS裝置之部分完成NFET元件之示意 圖; 圖8B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖9A係本發明CMOS裝置之部分完成NFET元件之示意 圖; 圖9B係本發明CMOS裝置之部分完成PFET元件之示意 圖, 圖10A係本發明CMOS裝置之部分完成NFET元件之示意 圖, 圖10B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖11 A係本發明CMOS裝置之部分完成NFET元件之示意 圖; 圖1 1B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖12A係本發明CMOS裝置之部分完成NFET元件之示意
4IBM03138TW.ptd 第26頁 1255543 圖式簡單說明 圖, 圖12B係本發明CMOS裝置之部分完成PFET元件之示意 圖; 圖13A係本發明CMOS裝置之部分完成NFET元件之示意 圖; 圖13B係本發明CMOS裝置之部分完成PFET元件之示意 圖, 圖14A係本發明CMOS裝置之部分完成NFET元件之示意 圖, 圖14B係本發明CMOS裝置之部分完成PFET元件之示意 S3 · 圖, 圖1 5A係本發明CMOS裝置之NFET元件之示意圖; 圖15B係本發明CMOS裝置之PFET元件之示意圖; 圖1 6係本發明一較佳實施方法之流程圖; 圖1 7係本發明一較佳實施方法之流程圖;以及 圖1 8係本發明一較佳實施方法之流程圖。 圖式元件符號說明 1 CMOS裝置 2 CMOS裝置 10 埋入式氧化基材 15 氮化/氧化/絕 20 絕緣層上矽晶圓 25 閘極介電層 26 通道區域 30 氮化層 35 淺溝渠隔離區域 36 上表面 37 圓角 40 閘極
4IBM03138TW.ptd 第27頁 1255543
圖式簡單說明 42 多晶矽層 44 氧化層 46 氮化層 48 緩衝仿多晶矽層 50 絕緣層 51 N型未回火摻雜區域 52 P型未回火摻雜區域 53 P型未回火摻雜區域 54 N型未回火摻雜區域 55 帽蓋層 60 間隙壁 61 間隙壁 65 植入層 66 N型未回火摻雜區域 67 N型未回火摻雜區域 68 P型未回火摻雜區域 69 P型未回火摻雜區域 71 N型未回火摻雜區域 72 P型未回火摻雜區域 73 N-型活化摻雜區域 74 P-型活化摻雜區域 75 P-型活化摻雜區域 79(a: 1源極/沒極區域 79(b: >源極/汲極區域 85 N -型活化摻雜區域 86 N-型活化摻雜區域 87 N-型活化摻雜區域 88 P-型活化摻雜區域 89 P-型活化摻雜區域 4IBM03138TW.ptd 第28頁

Claims (1)

1255543 六、申請專利範圍 1 ·一種增高式源極/汲極(RSD)之絕緣層上矽(SOI )電晶體 裝置,包含: 一埋入式氧化(BOX)層; 一絕緣層上矽晶圓於該埋入式氧化層上; 一閘極介電層於該絕緣層上矽晶圓上; 一閘極區域於該閘極介電層上; 一植入層鄰接該絕緣層上石夕晶圓,該植入層包一沉積 的材料, 源極/汲極區域於該植入層及該絕緣層上矽晶圓上 方;以及 一淺溝渠隔離(ST I )區域鄰接該源極/汲極區域,其中 該淺溝渠隔離區域具有一上表面係高於該閘極介電層之一 上表面。 2 .如申請專利範圍第1項所述之裝置,更包含至少一絕緣 間隙壁包圍該閘極區域。 3 .如申請專利範圍第1項所述之裝置,其中該絕緣層上矽 晶圓具有一預定厚度,且該源極/汲極區域具有一厚度係 大於該絕緣層上矽晶圓之該預定厚度。 4.如申請專利範圍第3項所述之裝置,其中該淺溝渠隔離 區域具有大體為圓形的角,其中該淺溝渠隔離區域鄰接 (b 〇 r d e r s )該源極/汲極區域。
4IBM03138TW.ptd 第29頁 1255543 六、申請專利範圍 5.如申請專利範圍第1項所述之裝置,其中該摻雜質層包 含多晶矽及非晶矽之一。 6 .如申請專利範圍第1項所述之裝置,其中該源極/汲極區 域無蟲晶相關的缺陷。 7. 如申請專利範圍第1項所述之裝置,其中該源極/汲極區 域包含一非蠢晶材料。 8. —種互補式金氧半導體(CMOS)裝置,包含: 一埋入式氧化層; 一絕緣層上矽晶圓於該埋入式氧化層上,該絕緣層上 矽晶圓具有一預定厚度; 一閘極結構於該絕緣層上矽晶圓上; 一閘極介電層於該閘極結構及該絕緣層上矽晶圓之 間,該閘極介電層位於該埋入式氧化層上之一第一高度; 一植入層鄰接該絕緣層上矽晶圓,該植入層包一沉積 的材料, 源極/汲極區域於該植入層及該絕緣層上矽晶圓内, 其中該源極/汲極區域具有一厚度係大於該絕緣層上矽晶 圓之該預定厚度;以及 一淺溝渠隔離區域具有大體為圓形的角且位於該埋入 式氧化層上方,其中該淺溝渠隔離區域之一上表面係高於
4IBM03138TW.ptd 第30頁 1255543 六、申請專利範圍 該埋入式氧化層上之該第一高度。 9.如申請專利範圍第8項所述之CMOS裝置,更包含至少一 絕緣間隙壁包圍該閘極結構。 1 0 .如申請專利範圍第8項所述之CMOS裝置,其中該絕緣層 上矽晶圓之該預定厚度係小於5 5奈米。 11. 如申請專利範圍第8項所述之CMOS裝置,其中該源極/ 汲極區域之該厚度係於2 0 0 _ 3 0 0埃之範圍。 12. 如申請專利範圍第8項所述之CMOS裝置,其中該摻雜質 層包含多晶矽及非晶矽之一。 13. —種形成一互補式金氧半導體(CMOS)裝置之方法,該 方法包含: 沉積一絕緣層上矽(SOI )晶圓於一埋入式氧化(BOX)基 材上方,其中該絕緣層上矽晶圓具有一預定厚度; 形成一閘極介電層於該絕緣層上矽晶圓上; 形成一淺溝渠隔離(ST I )區域於該埋入式氧化基材 上,其中該淺溝渠隔離區域具有一大體為圓形的角; 形成一閘極結構於該閘極介電層上; 沉積一植入層於該絕緣層上矽晶圓上; 執行N型及P型之其一的摻雜質植入於該絕緣層上矽晶
4IBM03138TW.ptd 第31頁 1255543 六、申請專利範圍 圓及該植入層内;以及 加熱該裝置以自該植入層及該絕緣層上矽晶圓形成源 極及汲極區域,其甲該源極及汲極區域具有一厚度係大於 該絕緣層上矽晶圓之該預定厚度; 其中該閘極介電層位於較該淺溝渠隔離區域為低。 1 4.如申請專利範圍第1 3項所述之方法,更包含形成至少 一絕緣間隙壁包圍該閘極結構。 1 5 .如申請專利範圍第1 3項所述之方法,其中該植入層包 含多晶矽及非晶矽之一。 1 6 .如申請專利範圍第1 3項所述之方法,其中該閘極結構 之形成包含: 沉積一第一閘極多晶矽層於該絕緣層上矽晶圓; 沉積一氧化墊於該第一閘極多晶矽層上; 沉積一犧牲氮化層於該氧化墊上;以及 沉積一犧牲第二閘極多晶矽層於該犧牲氮化層上。 1 7.如申請專利範圍第1 3項所述之方法,其中該絕緣層上 矽晶圓之該預定厚度係小於5 5奈米。 1 8.如申請專利範圍第1 3項所述之方法,其中該源極/汲極 區域之該厚度係於2 0 0 - 3 0 0埃之範圍。
4IBM03138TW.ptd 第32頁
TW093100051A 2003-03-12 2004-01-02 A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture TWI255543B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/388,103 US7019351B2 (en) 2003-03-12 2003-03-12 Transistor devices, and methods of forming transistor devices and circuit devices

Publications (2)

Publication Number Publication Date
TW200428638A TW200428638A (en) 2004-12-16
TWI255543B true TWI255543B (en) 2006-05-21

Family

ID=32962058

Family Applications (2)

Application Number Title Priority Date Filing Date
TW093100051A TWI255543B (en) 2003-03-12 2004-01-02 A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
TW093106473A TWI233687B (en) 2003-03-12 2004-03-11 Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW093106473A TWI233687B (en) 2003-03-12 2004-03-11 Transistor devices, CMOS constructions, capacitor constructions, and methods of forming transistor devices and capacitor constructions

Country Status (8)

Country Link
US (4) US7019351B2 (zh)
EP (1) EP1604392B1 (zh)
JP (1) JP4352410B2 (zh)
KR (1) KR100699116B1 (zh)
CN (1) CN100388426C (zh)
AT (1) ATE535012T1 (zh)
TW (2) TWI255543B (zh)
WO (1) WO2004082005A1 (zh)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6613620B2 (en) 2000-07-31 2003-09-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6551893B1 (en) * 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
KR100460273B1 (ko) * 2003-03-25 2004-12-08 매그나칩 반도체 유한회사 모스 바랙터의 제조방법
KR100502426B1 (ko) * 2003-09-18 2005-07-20 삼성전자주식회사 듀얼 게이트를 갖는 반도체 소자 및 그 형성 방법
CA2553678C (en) 2004-01-30 2014-07-08 Universite Laval Multi-user adaptive array receiver and method
KR100634167B1 (ko) * 2004-02-06 2006-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7514360B2 (en) * 2004-03-17 2009-04-07 Hong Yu Yu Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7592678B2 (en) * 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7145208B2 (en) * 2004-06-25 2006-12-05 United Microelectronics Corp. MOS transistor having a work-function-dominating layer
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
WO2006030522A1 (ja) * 2004-09-17 2006-03-23 Sharp Kabushiki Kaisha 薄膜半導体装置及びその製造方法
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7297588B2 (en) * 2005-01-28 2007-11-20 Freescale Semiconductor, Inc. Electronic device comprising a gate electrode including a metal-containing layer having one or more impurities and a process for forming the same
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7160781B2 (en) * 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
KR100706244B1 (ko) 2005-04-07 2007-04-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
US7361538B2 (en) * 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
JP2007019400A (ja) * 2005-07-11 2007-01-25 Renesas Technology Corp Mos構造を有する半導体装置およびその製造方法
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7575978B2 (en) * 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) * 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US20070052036A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070052037A1 (en) * 2005-09-02 2007-03-08 Hongfa Luan Semiconductor devices and methods of manufacture thereof
JP2007088122A (ja) * 2005-09-21 2007-04-05 Renesas Technology Corp 半導体装置
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US7651935B2 (en) * 2005-09-27 2010-01-26 Freescale Semiconductor, Inc. Process of forming an electronic device including active regions and gate electrodes of different compositions overlying the active regions
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
KR100662850B1 (ko) * 2006-02-02 2007-01-02 삼성전자주식회사 복수 개의 금속층을 적층한 반도체 소자
US7446026B2 (en) * 2006-02-08 2008-11-04 Freescale Semiconductor, Inc. Method of forming a CMOS device with stressor source/drain regions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7544559B2 (en) * 2006-03-07 2009-06-09 Micron Technolog, Inc. Methods of forming semiconductor constructions
KR100762238B1 (ko) * 2006-03-21 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 이의 형성 방법
JP4967407B2 (ja) * 2006-03-29 2012-07-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US8153502B2 (en) * 2006-05-16 2012-04-10 Micron Technology, Inc. Methods for filling trenches in a semiconductor material
KR100788371B1 (ko) * 2006-08-02 2008-01-02 동부일렉트로닉스 주식회사 플래시 메모리 소자 제조 방법
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7432548B2 (en) * 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100843230B1 (ko) * 2007-01-17 2008-07-02 삼성전자주식회사 금속층을 가지는 게이트 전극을 구비한 반도체 소자 및 그제조 방법
US7812414B2 (en) * 2007-01-23 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates
KR100868768B1 (ko) * 2007-02-28 2008-11-13 삼성전자주식회사 Cmos 반도체 소자 및 그 제조방법
US7435652B1 (en) * 2007-03-30 2008-10-14 International Business Machines Corporation Integration schemes for fabricating polysilicon gate MOSFET and high-K dielectric metal gate MOSFET
US7642616B2 (en) * 2007-05-17 2010-01-05 Micron Technology, Inc. Tunnel and gate oxide comprising nitrogen for use with a semiconductor device and a process for forming the device
KR100852212B1 (ko) * 2007-06-12 2008-08-13 삼성전자주식회사 반도체 소자 및 이를 형성하는 방법
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
JP2009111222A (ja) * 2007-10-31 2009-05-21 Renesas Technology Corp 半導体装置およびその製造方法
US20100123993A1 (en) * 2008-02-13 2010-05-20 Herzel Laor Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers
JP5104373B2 (ja) * 2008-02-14 2012-12-19 日本ゼオン株式会社 位相差板の製造方法
US7700469B2 (en) * 2008-02-26 2010-04-20 Micron Technology, Inc. Methods of forming semiconductor constructions
US8536660B2 (en) * 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
US8324090B2 (en) * 2008-08-28 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve dielectric quality in high-k metal gate technology
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US8106455B2 (en) * 2009-04-30 2012-01-31 International Business Machines Corporation Threshold voltage adjustment through gate dielectric stack modification
US8124515B2 (en) * 2009-05-20 2012-02-28 Globalfoundries Inc. Gate etch optimization through silicon dopant profile change
US8518758B2 (en) * 2010-03-18 2013-08-27 Globalfoundries Inc. ETSOI with reduced extension resistance
WO2011156650A2 (en) * 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling
US8354703B2 (en) 2010-07-15 2013-01-15 International Business Machines Corporation Semiconductor capacitor
US9276004B2 (en) * 2012-03-30 2016-03-01 Broadcom Corporation ROM arrays having memory cell transistors programmed using metal gates
KR101977286B1 (ko) * 2012-12-27 2019-05-30 에스케이하이닉스 주식회사 듀얼 일함수 게이트스택, 그를 구비한 반도체장치 및 제조 방법
US9218976B2 (en) * 2013-08-13 2015-12-22 Globalfoundries Inc. Fully silicided gate formed according to the gate-first HKMG approach
FR3011382B1 (fr) * 2013-09-27 2019-03-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un circuit integre

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3228702A (en) * 1961-11-02 1966-01-11 Union Tank Car Co Inflatable seal for floating roof
JP3104534B2 (ja) * 1994-06-27 2000-10-30 ヤマハ株式会社 半導体装置とその製法
US5705428A (en) * 1995-08-03 1998-01-06 Chartered Semiconductor Manufacturing Pte, Ltd. Method for preventing titanium lifting during and after metal etching
JPH10173169A (ja) * 1996-12-16 1998-06-26 Toshiba Corp 半導体装置及びその製造方法
TW389944B (en) * 1997-03-17 2000-05-11 United Microelectronics Corp Method for forming gate oxide layers with different thickness
JPH10303412A (ja) 1997-04-22 1998-11-13 Sony Corp 半導体装置及びその製造方法
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
KR100276389B1 (ko) * 1998-07-03 2000-12-15 윤종용 커패시터 및 그 제조방법
JP3189813B2 (ja) * 1998-11-30 2001-07-16 日本電気株式会社 半導体装置の製造方法
JP3287403B2 (ja) * 1999-02-19 2002-06-04 日本電気株式会社 Mis型電界効果トランジスタ及びその製造方法
US6319832B1 (en) * 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US20020063711A1 (en) * 1999-05-12 2002-05-30 Imove Inc. Camera system with high resolution image inside a wide angle view
JP2000349258A (ja) * 1999-06-08 2000-12-15 Mitsubishi Electric Corp メモリセル並びにその制御方法及び製造方法
JP3480373B2 (ja) * 1999-07-07 2003-12-15 松下電器産業株式会社 トレイフィーダにおける部品供給高さ位置の補正方法
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6573160B2 (en) * 2000-05-26 2003-06-03 Motorola, Inc. Method of recrystallizing an amorphous region of a semiconductor
JP2001339061A (ja) 2000-05-30 2001-12-07 Univ Nagoya Mosデバイス及びその製造方法
JP2002009169A (ja) * 2000-06-20 2002-01-11 Nec Corp 半導体装置とその製造方法
US6228721B1 (en) * 2000-06-26 2001-05-08 Advanced Micro Devices, Inc. Fabrication of metal oxide structures with different thicknesses on a semiconductor substrate
JP2002057287A (ja) * 2000-08-09 2002-02-22 Rohm Co Ltd 半導体装置
US7217615B1 (en) 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US6436749B1 (en) * 2000-09-08 2002-08-20 International Business Machines Corporation Method for forming mixed high voltage (HV/LV) transistors for CMOS devices using controlled gate depletion
JP3636978B2 (ja) * 2000-09-18 2005-04-06 住友重機械工業株式会社 ディスク成形装置
JP2002124650A (ja) * 2000-10-17 2002-04-26 Hitachi Ltd 半導体集積回路装置の製造方法
KR100351907B1 (ko) * 2000-11-17 2002-09-12 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
KR20020056260A (ko) * 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
JP3547419B2 (ja) * 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
JP2003023152A (ja) 2001-07-10 2003-01-24 Sony Corp Mis型トランジスタ及びその製造方法
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
KR100464650B1 (ko) * 2002-04-23 2005-01-03 주식회사 하이닉스반도체 이중 유전막 구조를 가진 반도체소자의 캐패시터 및 그제조방법
US6818500B2 (en) * 2002-05-03 2004-11-16 Micron Technology, Inc. Method of making a memory cell capacitor with Ta2O5 dielectric
US6617210B1 (en) * 2002-05-31 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20040005003A1 (en) 2002-07-02 2004-01-08 Koninklijke Philips Electronics N.V. Quality improvement for FGS BL coding with U/V coarse quantization
US7030024B2 (en) * 2002-08-23 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
KR100477807B1 (ko) * 2002-09-17 2005-03-22 주식회사 하이닉스반도체 캐패시터 및 그의 제조 방법
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
KR100502426B1 (ko) * 2003-09-18 2005-07-20 삼성전자주식회사 듀얼 게이트를 갖는 반도체 소자 및 그 형성 방법

Also Published As

Publication number Publication date
CN1784769A (zh) 2006-06-07
US20040178432A1 (en) 2004-09-16
EP1604392A1 (en) 2005-12-14
US7253053B2 (en) 2007-08-07
TWI233687B (en) 2005-06-01
ATE535012T1 (de) 2011-12-15
US7019351B2 (en) 2006-03-28
US7081656B2 (en) 2006-07-25
EP1604392B1 (en) 2011-11-23
TW200428638A (en) 2004-12-16
US20040178458A1 (en) 2004-09-16
JP4352410B2 (ja) 2009-10-28
TW200427055A (en) 2004-12-01
WO2004082005A1 (en) 2004-09-23
JP2006515471A (ja) 2006-05-25
KR20050108380A (ko) 2005-11-16
KR100699116B1 (ko) 2007-03-21
US20050101078A1 (en) 2005-05-12
CN100388426C (zh) 2008-05-14
WO2004082005B1 (en) 2004-11-04
US20040180487A1 (en) 2004-09-16
US7126181B2 (en) 2006-10-24

Similar Documents

Publication Publication Date Title
TWI255543B (en) A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US6828630B2 (en) CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US8106456B2 (en) SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US6939751B2 (en) Method and manufacture of thin silicon on insulator (SOI) with recessed channel
US7259049B2 (en) Self-aligned isolation double-gate FET
TWI408805B (zh) 虛擬本體接觸之三閘極
JP4877629B2 (ja) フロントゲート型soi−mosfetの製造方法
US20070228473A1 (en) ULTRA-THIN Si MOSFET DEVICE STRUCTURE AND METHOD OF MANUFACTURE
US6482724B1 (en) Integrated circuit asymmetric transistors
JPH1197693A (ja) 半導体装置およびその製造方法
US7648880B2 (en) Nitride-encapsulated FET (NNCFET)
US7638844B2 (en) Manufacturing method of semiconductor-on-insulator region structures
US6716691B1 (en) Self-aligned shallow trench isolation process having improved polysilicon gate thickness control
EP2477216A1 (en) Hybrid bulk/SOI device with a buried doped layer and manufacturing method thereof
JP3822743B2 (ja) Cmos回路及びその製造方法
US6727151B2 (en) Method to fabricate elevated source/drain structures in MOS transistors
US20200044029A1 (en) Field-effect transistors with a grown silicon-germanium channel
JP4434832B2 (ja) 半導体装置、及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees