TW494479B - Manufacturing method of semiconductor integrated circuit devices and semiconductor integrated circuit devices - Google Patents

Manufacturing method of semiconductor integrated circuit devices and semiconductor integrated circuit devices Download PDF

Info

Publication number
TW494479B
TW494479B TW089112416A TW89112416A TW494479B TW 494479 B TW494479 B TW 494479B TW 089112416 A TW089112416 A TW 089112416A TW 89112416 A TW89112416 A TW 89112416A TW 494479 B TW494479 B TW 494479B
Authority
TW
Taiwan
Prior art keywords
insulating film
integrated circuit
semiconductor integrated
film
circuit device
Prior art date
Application number
TW089112416A
Other languages
English (en)
Inventor
Shoichi Uno
Atsushi Maekawa
Takashi Yunogami
Kazutami Tago
Kazuo Nojiri
Original Assignee
Hitachi Ltd
Hitachi Ulsi Sys Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi Ulsi Sys Co Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW494479B publication Critical patent/TW494479B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Description

494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(1 ) [發明之背景] \ 本發明係有關一種半導體積體電路之製造方法及半導體 積體電路裝置技術’尤指一種適用於半導體積體電路裝置 中之多層配線的形成方法之有效技術。 匕 伴隨著半導體積體電路裝置之元件集成度的提高或半導 體晶片尺寸之縮小等等,構成半導體積體電路裝置之配線 的微細化及多層化技術正日益進步。特別是具有多層配 構造之邏輯系半導體積體電路裝置中,配線延遲是半導體 積體電路裝置整體之信號延遲的支配性要因之一。,六過= -配線之信號的速度係強烈地依存於配線‘電阻及:線電 容,因此,爲了改善配線延遲,將配線電阻及配線電 低有其重要性。 有關配線電阻之降低,目前在發展中的是應用在配線材 料中使用銅系材料(銅或銅合金)之金屬鑲嵌法。此一全屬 報嵌法’係在絕緣膜上形成配線形成用溝之後,在該絕緣 膜上及配線形成溝内被覆固定配線形成用導體膜,再將該 導體膜之不必要部份以化學機械研磨法(cMp)等除去,口 在上述溝内殘留導體膜,而在配線形成用溝内形成埋入式 配線(万法。根據此一方法,可形成以蚀刻法微細加工困 難之銅系材料的配線。 二二ί屬鑲歲法的應用「二元金屬鑲嵌法」,係在絕緣 月吴中》成配線形成用溝及自該溝底部延伸至下層的接續部 之接觸孔或溝孔等孔之後,在該 象膜上,在配線形成溝 及在孔内被覆固定配線形成導體膜,再將該導體膜之不必 ---------^--------- (請先閱讀背面之注意事項再填寫本頁)
A7 ^-------B7_______ 五、發明說明(2 ) 2 IW刀以CMP法等除去,❼只在上述溝内及孔内,殘留導 膜、I曰而在配線形成用溝内形成埋入式配線,且在該孔 内形成插件之方法。根據此一方法,可減少配線形成步驟 數’因此可謀求降低半導體積體電路之製造成本。 有關此種—元金屬鑲嵌法,例如在特開平號公 報中有A載,其係揭示一種在第一層間絕緣膜與其上之第 -層間絕緣膜之間,預先設置形成有穿孔用開口部之蚀刻 阻隔用絕緣膜,而在第二層間絕緣膜中使用光阻膜形成溝 争舲蝕刻阻隔用絕緣膜作爲蝕刻阻隔物,而在自該絕緣 膜之f孔用辭口部露出的第一層間絕緣膜ψ穿孔的二元金 屬鑲肷法。又,例如在特開平」心2〇9273號公報中,曾揭示 一種在層間絕緣膜中形成溝之後,再自該溝之底部穿出延 伸至下方的孔之方式的二元金屬鑲嵌法。 1 一方面,有關配線電容之降低,有作爲上絕緣膜使用 在氧化矽膜中含有甲基而成之有機s〇G (Spin 〇n G][ass)膜 的技術。此一有機SOG膜因介電係數低之故,可將半導體 積體電路之配線的综合性介電係數降低。有機系介電係數 ,之絕緣膜作爲層間絕緣膜使用的技術,例如係記載於普 來斯期刊公司在平成1〇年2〇日發行之「月刊Semic〇nduct〇r World 11月號」p 74-76中,其開示了可作爲金屬鑲嵌法或 二元金屬鑲嵌法之配線構造用層間絕緣膜使用的各種無機 系及有機系之層間絕緣膜。又,例如特開平9_29378〇號公 報中,冒揭示作爲一般之配線構造的層間絕緣膜,使用有 機SOG膜的半導體積體電路裝置技術。又,例如在特開平 -5- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ------^ ! I 訂·--1111--· 經濟部智慧財產局員工消費合作社印制农 4V4479
五、發明說明(3 經濟部智慧財產局員工消費合作社印製 1 1-67909號公報中,曾揭示若在有機系低介電、係數膜中以 蝕刻形A溝或孔等時,t有該;冓或孔之側面在平面方向等 向性地削除之問題,爲了解決該問題,又揭示有在作過餘 刻處理時,使用形成氣體之技術。又,例如在特開平8 3 16209號公報中’曾揭示在有機系高分子系絕緣膜之蚀刻 處理時若;^以與氧化石夕膜之蚀刻處理使用相@ c F系气 CHF系氣體的電漿蝕刻處理的場合,在有機高分子系絕緣 膜中形成之溝或孔的底面或側面形成碳系堆積物的結果、, 會有蝕刻速度降低或加工形狀劣化之課題;其又揭示,爲 了解決此-顆題,,在將有機高分子系絕緣膜、刻時,施以 使用氧系氣體之電漿蝕刻處理Γ或是在將電漿中之㈣比 降低之條件下,施以電漿蝕刻處理之技術。 [發明之概要] 按,於上述金屬鑲嵌法或二元金屬镶嵌法中,在層間絕 緣膜中形成配線形成用溝或孔時,爲了避免因掘入過深以 致對下層帶來損傷或是導致加工尺寸精度之劣化等,係在 ^間絕緣膜之下層形成#刻阻隔用絕緣膜^在以氧化 等構成層間絕緣膜之技術中作 作馬上述蝕刻阻隔用絕緣 %係使用該㈣。然而,氮切膜因介電係數高之故 (7左右),就降低配線整體之介電係數的觀點而言 須量薄化之必要性。以,在由氧切膜等所構成 I層間絕緣膜中形成溝或孔時,採用的技術中,並係 由使用例如W之氣體及氧氣,而使層間絕緣膜盘㈣ 阻隔用絕緣膜之蝕刻選擇比提高的蝕刻條 本紙張尺㈣用中國國家標準(CNS)A4規格⑽χ 297 (請先閱讀背面之注意事項再填寫本頁) |裝-----r---訂---------線* -6- 494479 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(4 然而,發明人等卻發現,若在有機系絕緣膜上施以使片 CxFy及02氣體作爲上述蝕刻氣體的高選擇蝕刻處理 / 圖形成溝或孔等時,在溝或孔之底部外周會形成較溝或二 之底邵中央的深度相對上爲深的溝(次溝),是爲其問1。 爲了避免此-問題’若使用選擇性低之蝕刻氣體,'則二 增厚上述蝕刻阻隔用絕緣膜,如此,則會有半導触:、 路裝置之配線的總介電係數增大之課題。 .a、奴屯 疋以’本發明〈目的係在提供一種在以前有機矽氧 主成分之絕緣膜上⑽刻形成凹部時,可抑制 =·.· 形成異常形狀之技術。 ^ 本發明之另一目’的,係在提供二種在以有機 成分之絕緣膜切㈣㈣凹部時,可在確 =選擇比之狀態下’抑制凹部之底部形成異常= =發明之再-目的’係在提供—種可在以有 主成分之絕緣膜上,形成微細凹部之技術。 巩烷馬 本發明之又-目的,係在提供—種可降低半導〜 路裝置之配線中的综合性介電係數之技術。 、缸包 本發明上述及其他目的及新穎特徵,可由本 述及附圖,獲得深一層之瞭解。 … 曰艾敘 本發明所揭示之發明中’代表性發明的概 明,係如下所述。 間早死 ::言之,本發明…下之步驟:對於 角王成分之絕絲,在含氮碳氣體及氮氣之氣氛中 本紙張尺度適用中國國家標準(CNS)A4 (210 X 297公
I-----r---訂---------線赢 (請先閱讀背面之注意事項再填寫本頁) A7 B7 五 發明說明( 5 ,以電漿银刻處理’而在其有機絕緣膜上,开 成用溝或孔等般之凹部。 /成如配線形 又,本發明係在對於以有機矽氧烷 、 在含氮碳氣體及氮氣之氣氛 一 刀〈絕緣膜, 从K乳沉中,猎由施以 而在其有機絕緣膜上形 7 d處理, 、 形成如配線形成用溝哎孔荽加、 邵時,在其㈣處理中,改變姓刻條件中凹 進行飾刻處理。 刀複數階段 :,本發明係在對於以有機,夕 在含氮碳氣體及氮氣之氣氛中,乒^ &刀d緣膜, ^^ 沉甲猎由施以電·漿蝕岁丨丨泠挪 而在其有機絕顧上,形成如配線形成:處里, 邵時,在將氟錢體與氧氣& $ ^,又《凹 下,形成凹部。 bi比,又成一 ·定値的狀態 又,本發明在構成層間絕緣膜之以 之:或孔般之凹部時,將作爲钱阻隔物使用 、.吴以較 < 構成層間絕緣膜之以有機矽氧烷爲主成 分絕緣膜,有機量爲多之絕緣膜構成。 ·· 線 所所揭示之發明中’其他概要之簡單説明,係如下 κ一種半導體積體電路裝置之製造方法,其特徵係在包含: (a)在半導體積體電路基 一 、 口 g ^、 纷丞极之罘王面上,形成以有機矽 虱烷馬王成分的第一絕緣膜之步驟; ⑻在上述第一絕緣膜上,形威經圖案化 驟;及 )/、有上述屏罩層之狀怨下’在含有含氟碳蝕刻氣體 •8· 本紙張尺度適用中關家標準(CNS)A4規格咖χ视公餐 494479 A7 B7 五、發明說明(6 及氮氣之氣體氣氛中,對於上 _ 處理,以在上述第—絕緣膜上形成;刻 广上述第1項之半導體積體電路裝置之製迭/:。 氣體氣氛之最大氣體成分爲氬氣者。法,其中 3 ·如上述第1項或第2項之 法’其中該氣體氣氛含有氧2體積體電路^之製造 4 ·如上述第1項或第2項之半 法,其中該氣體氣氛實質上:含導=2電路裝置之製造 5.-種半導體_電路裝置之製造方法,其特徵 人 (a)在半導體積體電路基板之一 ' 、^ δ '氧燒爲主成分的絕緣膜之步i;及’形成以有機 ⑻在上述第一絕緣膜上’形成以較之上述 燒,含碳量低之第二有機石夕氧燒爲 ^砂 步驟。 〜刀日7罘一%緣膜 如上述第5項之半導體積體電路裝置之製造方法,龙 弟一絕賴與上述第—絕,_相較,其膜厚較厚者。、 7.如上述第5項或第6項之半導體積體電路裝置之 法,其中該第一絕緣膜與上述第二絕緣膜相較 50%以上者。 ° 8·如上述第5項或第6項之半導體積體畲路裝置之製造 法’其中該第-絕緣膜與上述第二絕緣膜相較,碳本。 100°/。以上者。 ° 9. 一種半導體積體電路裝置之製造方法,其特徵係在包含 ⑷在半導體積體電路基板之第一主面上,形成以有。 該 方 方 矽 氧 中該 方 量多 方 量多 -9 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) i 494479 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明( 氧烷爲主成分的第一絕緣膜之步驟; \ 二)二上^弟絕緣上’形成經圖案化的屏罩層之# ΐ)上在二有/=層之狀態下,在含有含氟碳氣體及賓 、t一心“,狀程度之氧氣的氣體氣氛中,對於上 形成第-凹部之步^㈣處理’以在上述第—絕緣膜上 10:如上述第9項之半導體積體電路裝置之製造方法,並中 该乳氣相對上述氟碳氣體之比,係在10以下者。 '、 11.如上述第9項之半導體積體電路 1 該氧氣相對上述氣碳氣體.之比,_“。,9以4一其中 12二如上述第9項之半導體積體電路裝置之製造方法,立中 该虱氣相對上述氟碳氣體之比,係在〇8以下者。 、 ^-種半導體«電路裝£之製造方法,其特㈣在於該 裝置具有埋入式配線,該製造方法包含: (广在半導體積體電路基板之第一主面上,形成構成上述 埋入式配線的弟一絕緣膜之步驟; (b)在上述第-絕緣膜上,形成構成上述埋人式配線之以 有機矽氧烷爲主成分的第二絕緣膜之步驟; (C)在上述第二絕緣膜上,形成經圖案化的屏罩層之步 驟; q (句在具有上述屏罩層之狀態下,於第一氣體氣氛中,對 於上述第一絕緣膜施以第一電漿蝕刻處理,.以在上述第二 系巴緣膜上形成第一凹部之步驟; -10 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
(請先閱讀背面之注意事項再填寫本頁) A7
經濟部智慧財產局員工消費合作社印製 (e)在上述第一凹部形成之狀態下, ^ 在與上述第-電槳職理相較,相對:::體:氛:、, 第二絕緣膜的蝕刻選擇比相對上較、I圯罘、纟巴緣胺之 一絕緣膜作爲蝕刻阻隔物,對於 条件下,知上述第 電一,而露出上述第」絕膜施以第二 14. 如上述罘13項之半導體積體電路裝晋、 該步驟(d)終了時,與上述第二 ;' 法’其中 述凹部底面之上述第二絕緣膜:厚?厚度相較,上 15. 如上述第13項之半導體積體;之3〇%以下者。 該步驟⑷終Γ時,與上述第 m…,其中 述凹部底面之上邊第二絕緣膜;^上 K如上述第13項之半導體積體 下者。 :步驟⑷終了時,上述凹部底面之上述第膜其中 度,係在15 °/。以下者。 ’彖<厚 二、14、15或16項之半導體積體電路裝冒、 製一’其中該第一絕緣膜係以 裝置< 18•一種半導體積體電路裝置 二王成:者。 成·· /、特欲係在具有以下之構 (a) 設於半導體積體電路晶片第—主# 氧烷爲主成分之第一絕緣膜;及 ·,以第—有機矽 (b) 設於上述第一絕緣膜上,以較之 ::碳含量較低的第二有機彻爲主:分之第 19.如上述第18項之半導體積體電路裝置,其中該第二的 本纸張尺度適用中國國(cns)A4規 -11 - χ 297 公 17
Μ-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) A7 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(9 ) 膜與上述第一絕緣膜相較,其膜厚較厚者。' 2〃0·如上述第18項或第19項之半導體積體電路裝置,其中該 第一絕緣膜與上述第二絕緣膜相較,碳含量多5〇〇χ以二 者。 如上述第18項或第19項之半導體積體電路裝置,其中該 第一絶緣挺與上迷第二絕緣膜相較,碳含量多1〇〇%以上者。 2 2. -種半導體積體電路裝置,其特徵係在具有以下之構成: ⑷設於半導體積體電路晶片之卜主面上,由錢化物 或矽氧氮物所構成,且具有第__開口之 ⑻設於上述第-秒氮膜上’以較之上述第::氣:膜介 電係數爲小之第-有機石夕氧境“成分,具有連結於上述 弟一開口的第二開口之第二絕緣膜; ⑷設於上述第二絕緣膜上,以較之上述第一矽氮化膜介 電係數爲小之絕緣膜爲主要構成膜,具有連結於上述第二 開口之與上述第一開口一起形成第一通孔的第三開口及與 其連結之第:配線埋入溝,且較上述第二絕緣膜爲厚之第 一層間絕緣膜; ⑷以被覆上述第一通孔之底面及内侧面以及上述第—配 線埋入溝之底面及内側面的方式設置之第一導電性障壁 層;及 (e)以埋入設有上述第一導電性障壁層之第一通孔内及上 述第一配線埋入溝内的銅爲主成分之第一配線區域。 =·如上述第=項之半導體積體電路裝置,其中該第二絕緣 膜係較上述第一矽氮化膜爲厚者。 -----------裝-----r---訂--------- (請先閱讀背面之注咅?事項再填寫本頁) 12- 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(1〇 ) 21如上述第2 3項之半導體積體電路裝置,其中該第—絕緣 月吴,係以較 < 上述第一有機矽氧烷碳數爲少之第二有機矽 氧烷爲主成分者。 2士5·-種半導體積體電路裝置之製造方法,其特徵係在於該 裝置具有埋入式配線,該製造方法包含: ⑷在半㈣積體電路基板之第_主°面上,形成構成上述 埋入式配線的第一絕緣膜之步驟; (b)在上^絕緣膜上,形成供形成上述埋人式配線之 以有機矽氧烷爲主成分的第二絕緣膜之步驟; ⑷在上述第二絕緣膜上,形成經圖案化的屏、層之步驟; ⑷Λ具有上述異’罩層之狀態,,於含有含氮碳氣體之蚀 刻“及亂氣(第-氣體氣氛中,對於上述第二絕緣膜施 以弟-電聚蚀刻處理,以在上述第二絕緣膜上形成第—凹 邵,而將上述第一絕緣膜露出之步驟。 =上述第25項之半導體積體電路裝置,其中該第-絕緣 月吴係以氮化矽爲主成分者。 2二如上述第25或26項之半導體積體電路裝置,其一 氣體氣氛之最大氣體成分係氬氣者。 〃 28. 如上述第25、26或27項之半導體籍触+ ^ ^ ^ ^ _ 干导缸知體電路裝置,其中該 弟一乱體氣氛含有氧氣者。 - 29. 如上述第25、26或27項之半導體積體 第一氣體氣氛實質上不含氧氣者_。 ^ 二-:半導體積體電路裝置之製造方法,該裝置具有埋入 式配線,該製造方法包含: -----------裂-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) -13 - 494479 A7
經濟部智慧財產局員工消費合作社印製 ⑷在半導體積體電路基板之第一主面上,形 二式配線之層間絕緣膜,有機魏燒爲 的弟一絕緣膜之步驟; 成刀 (b)在上述第一絕緣膜上,形成供形成上述埋入式配 上述第一絕緣膜膜厚爲薄,且以成 ,#J< 、 W刀興上述罘一有機石夕鐘 不同之第广有機碎氧垸爲主成分的第:絕緣膜之步驟; (C)在上述第二絕緣膜上,形成經圖案化的屏罩層之步二 ⑷在具有上述屏罩層之狀態下,#含有氣碳姓刻氣二之 第-氣體氣氛中,將上述第_絕緣膜作爲㈣阻隔物^ 於上述第二絕緣膜施以第一電漿蝕刻處理/以在上述Μ二 絕緣膜上形成第二凹部,而露出—上述第一絕緣膜之步硬一 31·如上述第30項之半導體積體電路裝置之製造方法,其中 該第二絕緣膜,與上述第一絕緣膜相較,其膜厚較厚者。 32.如上述第30或31項之半導體積體電路裝置之製造方法, 其中該第一絕緣膜與上述第二絕緣膜相較,碳含量多5〇%以 上者。 3 3·如上述第30或31項之半導體積體電路裝置之製造方法, 其中該第一絕緣膜與上述第二絕緣膜相較,碳含量多丄〇〇% 以上者。 34·如申請專利範圍第3 〇或3丨項之半導體積體電路裝置之製 造方法,該步驟(d)中,上述第二絕緣膜之相對上述第一絕 緣il吴蚀刻選擇比’係在4以上者。 3 5·如申請專利範圍第30或31項之半導體積體電路裝置之製 造方法,該步驟(d)中,上述第二絕緣膜之相對上述第一絕 \ -14- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 裝-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(12 ) 緣膜蚀刻選擇比,係在5以上者。 \ 36.-種半導體積體電路裝置之製造方法,其特徵係在於該 裝置具有供配線層間相互接續之插件 次埋入之二元金屬镶嵌型埋入式配線,該製造方:包;係 ⑷在半導體積體電路基板之第—主面上,形成構成上述 埋入式配線的第一絕緣膜之步驟; (b) 在上述第’巴緣膜上,形成供形成上述埋入式配線之 以有機矽氧烷爲主成分的第二絕緣膜之步驟; (c) 在上述第二絕緣膜上,形成經圖案化的屏罩層之步驟; ⑷在具有上述屏罩層之狀態下,#第一氣、體氣氛中,對 於上述第二絕緣膜施以第一電槳-蚀刻處理,以在上述第二 系巴緣膜上形成第一凹部之步驟; (e)在上述第一凹部形成之狀態下,於第二氣體氣氛中, 在與上述第一電漿蚀刻處理相較,相對上述第-絕緣膜之 =二絕緣膜的蚀刻選擇比相對上較大之條件下,對於上述 第一絕緣膜施以第二電漿蝕刻處理,而露出上述第一絕緣 膜之步驟。 37·,如上述第36項之半導體積體電路裝置之製造方法,其中 該第一絕緣膜係以氮化矽爲主成分者。 38.一種半導體積體電路裝置之製造方法,纟特徵係在於該 裝置具有供配線層間相互接續之插件區域及埋入式配線係一 次埋入之二元金屬鑲嵌型埋入式配線,·該製造方法包本: (a)在半導體積體電路基板之第一主面上,.形成供;成上 述埋入式配線的第一絕緣膜之步驟; ---------裝-----Γ—訂--------- (請先閱讀背面之注意事項再填寫本頁) 15- 494479 A7 B7 五、發明說明(13 ) (b)在上述第一絕緣腺μ - 以右媸功-r - 上’形成供形成上述埋'入式配線之 以有機石夕歧—爲主成分的第二絕緣膜之步驟; ⑷在具有上述厚罩居之二t:圖案化的厚罩層之步驟; ^ t > - _ - ^曰狀怨下,於含有含氟碳蝕刻氣體 心對C氛中,將上述第-絕緣膜作爲蚀刻阻 「间物’對於上述弟-绍祕 上述第二絕緣膜上:成第::::第—電漿蚀刻處理,以在 之步驟。 $ ^’而露出上述第一絕緣膜 39·々如上述第38項之半導體積體電路裝置之製造方法’並中 该罘一絕緣膜-係以氮化矽爲主成分者。 . ’、 復一種半導體積ft電路造方法 裝置具有供g己線層間相互接4 Μ係在於^ 訂 ”目互接、.,义插件區域及埋入式配線係一 /人(一几至屬鈒嵌型埋入式配線,該製造方法包含: (a)在半導體積體電路基板之第一主 " 述埋入式配線的層間絕緣膜 ;氧形成2 分的第-絕緣膜之步驟; 有機她爲主成 氧 ΓΛ上述Λ一絕緣膜上’形成供形成上述埋入式配線,較 亡述Η緣膜膜厚爲薄,且以成分與上述第一有機碎 烷不冋〈弟二有機矽氧烷爲主成分的第二絕緣膜之步驟; 體 阻 在 膜 (C)在上述第二絕緣膜上,形成經圖案化的屏罩層 妒· ⑷乂具有上述屏罩層之狀態下,於含有含氟碳蝕刻:’ 及氮氣之第-氣體氣氛中,將上述第一絕緣膜作爲钱刻 隔物二對於上述第二絕緣膜施以第_電漿蝕刻處理,以 上述第二絕緣膜上形成第一凹部,而露出上述第―絕緣 16 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
五、發明說明(14 )
之步驟。 K 本發明所揭示之發明中,其他概要之簡單説明,係如下 所示。 4 1 ·本發明包含: (a) 堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟; ⑻在上述第一絕緣膜上’堆積以有機矽氧烷爲主成分的 第一有機絕緣膜之步驟; (c) 在上述第一有機絕緣膜上形成屏罩層之步驟; (d) 將上述屏罩層作|虫刻孱罩 p娜d知皁,-且如上述第一絕緣膜作爲 触刻阻隔物,商於上述第一有機 , 卜产 … 罘百機、,*巴、.彖月吴,在含氟碳氣體及 氣體氣氛中,施以電漿乾’式蝕刻處理, 之步驟; (e) 將自上述凹部露出之第一絕緣膜除去之步驟;及 (f) 在上述凹部内埋入導體膜之步驟。 42·本發明包含: ⑷堆積具有蚀刻阻隔物機能的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,堆積有 昨^ n Μ貝乂百機矽乳烷爲主成分的 罘一有機絕緣膜之步驟; (c) 在上述第一有機絕緣膜上拖并 ^ 賴1堆積具有❹m隔物機能 的弟二絕緣膜之步驟; . (d) 將上述二絕緣膜上,形成凹部形 v W州開口郅之步驟; (e) 將上述(d)步驟後之第二絕緣膜上及 / 、斤 叹目上述開口邵露出 <罘一有機絕緣膜上,堆積以有機砂氧 士心, ’ ^ ’元馬王成分的第二 有機絕緣膜之步驟; -17- 本紙張尺度適用中闕家標準(CNS)A4規格(21〇 X 297公爱 (請先閱讀背面之注意事項再填寫本頁) 裝 訂.-- 經濟部智慧財產局員工消費合作社印製 4944/9 經濟部智慧財產局員工消費合作社印製 A7 -------— B7 五、發明說明(15 ) ⑺在上述第二有機絕緣膜上,形成屏罩層之步驟; (g) 將上述屏罩層作爲㈣屏罩,且將上述第—絕緣膜及 具有開口部之第二絕緣膜作爲蝕刻阻隔物,對於上述第 -、第二有機絕緣膜,在含氮碳氣體及氮氣之氣體氣氛 中,施以電漿乾式蝕刻處理,而形成凹部之步驟; (h) 將自上述凹邵露出之第一絕緣膜除去之步驟;及 ⑴在上述凹部内埋入導體膜之步驟。 43.本發明包含: ⑷堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟; (b) 在上述弟一絕緣膜上,堆積以有機矽氧‘爲主成分的 第一有機絕緣膜之步驟; (c) 在上述第一有機絕緣膜上,堆積具有蝕刻阻隔物機能 的第二絕緣膜之步驟; ⑷在上述第二絕緣膜上,堆積以有機矽氧烷爲主成分第 二有機絕緣膜之步驟; (e) 在上述第二有機絕緣膜上,形成第一屏罩層之步驟; (f) 將上述第一屏罩層作爲蝕刻屏罩,且將上述第一絕緣 膜=爲蚀刻阻隔物’對於上述第一、第二有機絕緣膜,在 含氟奴氣體及氮氣之氣體氣氛中,施以電漿乾式蝕刻處 理,而形成第一凹部之步驟; (g) 在除去上述第一屏罩層之後,在上述第二有機絕緣膜 上形成第二屏罩層之步驟; — (h) 將上述第二屏罩層作蝕刻屏罩,且將上述第二絕緣膜 作A蝕刻阻隔物,對於上述第二有機絕緣膜,在含氟碳氣 -18- 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ·裝-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7 B7 五、發明說明(16 ) 骨豆及氮氣之氣體氣氣中’施以雨將妒; 犯以%漿乾式蝕刻處‘理,而形成 第二凹部之步驟; 第二絕緣膜除去 (請先閱讀背面之注意事項再填寫本頁) ⑴將自上述第一、第二凹部露出之第一 之步驟;及 ⑴在上述第-、第二凹部内埋入導體膜之步驟。 44.本發明包含: (a) 堆積具有蝕刻阻隔物機能的第—絕緣膜之 (b) 在上述第一絕緣膜上,堆積 ^ …^ 堆和以有機矽氧烷爲主成分的 第一有機絕緣膜之步驟; _ (C)在上述第一、有機絕緣膜上,形成 e i a、i r u处弟一屏罩層之步驟; (d) 將上述第一屏窣層作爲蝕刻為罜 卜u d辟罩,且將上述第一絕緣 膜作爲蝕刻阻隔物,對於上述第一右 ^ ^ 、 弟有機絕緣膜,在含氟碳 氣骨豆及氮氣之氣體氣氛中,施以電渡 L Μ ^^式蝕刻處理,而形 成弟一凹部之步驟; (e) 在除去上述第一屏罩層之後,在 仗上述罘一有機絕緣膜 上形成第二屏罩層之步驟; ⑴將上述第二屏罩層㈣刻料,對於上述帛__有機絕 緣膜’在含氟碳氣體及氮氣之氣體氣氛中,施以電漿乾式 蚀刻處理,而形成第二凹部之步驟; 經濟部智慧財產局員工消費合作社印製 絕緣膜除去之步 (g)將自上述第一、第二凹部露出之第 驟;及 (h)在上述第一、第二凹部内埋入導體膜之步驟。 4 5 ·本發明包含: (a)堆積具有蚀刻阻隔物機能的第一絶緣膜之+ ^ . 19 私紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) 經濟部智慧財產局員工消費合作社印製 、發明說明(17 ) (b) 在上述第一絕緣膜上,堆積 · 皆_ 芦賴以有機矽氧烷‘爲主成分的 罘一有機絕緣膜之步驟; (c) 在上述第一有機絕纟灸膜p 认严卜 、象艇上堆%具有蝕刻阻隔物機能 的弟二絕緣膜之步驟; ⑷在上述第二絕緣膜上,堆積以有機矽氧烷爲主成分第 二有機絕緣膜之步驟; ⑷在上述第二有機絕緣膜上,堆積具有㈣阻隔物機能 的第三絕緣膜之步驟; ⑴在上述第三絕緣膜上’形成凹部形成用開口部之步驟; (g) 在,上述⑴步驟後之第三絕緣膜及第二有、機絕緣膜上, 形成第一屏罩層之步驟; (h) 將上述第一屏罩層作爲蝕刻屏罩,且將上述第二絕緣 膜作爲^刻阻隔物,對於上述第二有機絕緣膜,在含氟碳 乳:豊及氮氣之氣體氣氛中,施以電漿乾式蝕刻處理,而形 成第一凹部之步驟; ⑴在除去上述第一屏罩層之後,將上述第三絕緣膜作爲蝕 刻阻隔物,且將上述第一、第二絕緣膜作爲蝕刻阻隔物對 方;上述第一、第二有機絕緣膜,在含氟碳氣體及氮氣之氣體 氣氛中,施以電漿乾式蝕刻處理,而形成第二凹部之步驟; (j) 將自上述第一、第二凹部露出之第一:第二絕緣膜除去 之步驟;及 (k) 在上述第一、第二凹部内埋入導體膜之步驟。 46·本發明包含: . (a)堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟·, -20 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐 -----------裝-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) A7 B7 五、發明說明(18 ) 在上述第-絕緣膜上,堆積以有機砂氧_主成分的 罘一有機絕緣膜之步驟; (請先閱讀背面之注意事項再填寫本頁) (C)在上述第一有機絕緣膜上形成屏罩層之步驟; (d) 將上述屏罩層作蝕刻屏罩,且將上述第一絕緣膜作爲 蚀刻阻隔物,對於上述第—有機絕緣膜,在含氟碳氣體及 不致發生異常形狀程度的氧氛之蓊髀& 反J虱孔炙乱把虱汛中,施以電漿乾 式餘刻處理,而形成凹部之步驟; (e) 將自上述凹部露出之第一絕緣膜除去之步驟;及 (f) 在上述凹部内埋入導體膜之步驟。 47·本發明包含\ - (a) 堆積具有蝕刻f且隔物機能的_襄一絕緣膜之步線; (b) 在上述第一絕緣膜上,堆積以有機矽氧烷爲&主成分的 第一有機絕緣膜之步驟; (C)在上述第一有機絕緣膜上,堆積具有蝕刻阻隔物機能 的第二絕緣膜之步驟; (d)將上述二絕緣膜上,形成凹部形成用開口部之步驟; ⑷^將上述(d)步驟後之第二絕緣膜上及自上述開露出 之第一有機絕緣膜上,堆積以有機矽氧烷爲主成分的第二 有機絕緣膜之步驟; 經濟部智慧財產局員工消費合作社印製 (f) 在上述第二有機絕緣膜上,形成屏罩層之步驟; (g) 將上述屏罩層作爲蝕刻屏罩,且將上述第一絕緣膜及 具有開口邵之第二絕緣膜作爲蝕刻阻隔物,對於上述第 一、第二有機絕緣膜,在含氮碳氣體及不致發生異常形狀 程度的氧氣之氣體氣氛中,施以電漿乾式蝕刻處理,而形 -21 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494479 A7
成凹部之步驟; \ (h)將自上述凹部露出之第一絕緣膜除去之步驟;及 ⑴在上述凹部内埋入導體膜之步驟。 4 8 ·本發明包含: (a)堆積具有蚀刻阻隔物機能的第一絕緣膜之步驟; (^)在上述第一絕緣膜上,堆積以有機矽氧烷爲主成分的 第一有機絕緣膜之步驟; (C)在上述第一絕緣膜上,具有蚀刻阻隔物機能的第二絕 緣膜之步驟; ⑷在上述第二絕緣膜上,堆積以有機矽氧-垸爲主成分第 二有機絕緣膜之#驟; _ / (e) 在上述第二有機絕緣膜上,形成第一屏罩層之步驟; (f) 將上述第一屏罩層作爲蚀刻屏罩,且將上述第一絕緣 膜作爲蝕刻阻隔物,對於上述第一、第二有機絕緣膜,在 含氟碳氣體及不致發生異常形狀程度及氧氣之氣體氣氛 中’施以電漿乾式蝕刻處理,而形成第一凹部之步驟; (g) 在除去上述第一屏罩層之後,在上述第二有機絕緣膜 上形成第二屏罩層之步驟; (h) 將上述第二屏罩層作蝕刻屏罩,且將上述第二絕緣膜 作爲蝕刻阻隔物,對於上述第二有機絕緣膜,在含氟碳氣 體及不致發生異常形狀程度的氧氣之氣體氣氛中,施以^ 水乾式蚀刻處理’而形成第二凹部之步驟; ⑴將自上述第一、第二凹部露出之第一、第二絕緣膜除去 之步驟;及 ' ___ -22- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) C請先閱讀背面之注音?事項再填寫本頁} 裝-----r---訂--- 經濟部智慧財產局員工消費合作社印製 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(20 ) (j)在上述第一、第二凹部内埋入導體膜之步驟。 49·本發明包含: (a) 堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,堆積以有機矽氧烷爲主成分的 第一有機絕緣膜之步驟; (c) 在上述第一有機絕緣膜上,形成第一屏罩層之步驟; (d) 將上述第一屏罩層作爲蚀刻屏罩,且將上述第一絕緣 膜作爲蚀刻阻隔物,對於上述第一有機絕緣膜,在含氟碳 氣體及不致發異常形狀程度的氧氣之氣體氣·氛中,施以電 漿乾式蚀刻處*5里,而形成第一凹部之步驟;' 、e)在除去上述第二屏罩層之後λ在上述第一.有機絕緣膜 上形成第二屏罩層之步驟; (f) 將上述第二屏罩層作蚀刻屏罩,對於上述第一有機絕 緣膜,在含氟碳氣體及不致發生異常形狀程度的氧氣之氣 體氣氛中,施以電漿乾式蝕刻處理,而形成第二凹部之步 驟; β 7 (g) 將自上述第一、第二凹部露出之第一絕緣膜除去之 驟;及 (h) 在上述第一、第二凹部内埋入導體膜之步驟。 50.本發明包含: (a) 堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟· (b) 在上述第一絕緣膜上,堆積以有機矽氧 ^ n /孔’凡馬王成分的 弟一有機絕緣膜之步驟; . (c) 在上述第一絕緣膜上,堆積具有蝕刻阻隔物機能的第 ^-----r------------ C請先閱讀背面之注音?事項再填寫本頁} •23 4^4479 A7 五、發明說明(21 ) 絕緣膜之步驟; (d)在上述第二絕緣膜上,堆積以有 广货从哥機矽虱烷爲主成分第 二有機絕緣膜之步驟; ⑷在一上述第广有機絕緣膜上’堆積具有蚀刻阻隔物機能 的弟二絕緣膜之步驟; ⑴在上述第三絕緣膜上’形成凹部形成用開口部之步驟; ㈦在上述⑴步驟後之第三絕緣膜及第二有機絕緣膜上, 形成第一屏罩層之步驟; ⑻將上述第-厚罩層作爲㈣屏罩,且將上述第二絕緣 膜作爲蝕刻阻‘P高物,對於上述第二有機絕緣.膜,在含氟碳 氣體^致發生s.f形狀程度我氣之氣m中,施以 電漿乾式餘刻處理,而形成第一凹部之步驟; ⑴在除去上述第一屏罩層之後,將上述第三絕緣膜作爲蝕 刻阻k物JL #上述第—、第二絕緣膜作爲射彳阻隔物對 於上述第-、第:有機絕緣膜,在含說碳氣體及不致發生 異常形狀程度的氧氣之氣體氣氛中,施以電漿乾式蝕刻處 理,而形成第二凹部之步驟; ω將自上述第-、第二凹部露出之第一、第二絕緣膜除去 之步驟;及 (k)在上述第一、第二凹部内埋入導體膜之步驟。 5 1 ·本發明包含: ⑷堆積以有機矽氧燒爲主成分的第一有機絕緣膜之步驟; (b)在上述第一有機絕緣膜上,堆積以碳含量較上述第一 有機絕緣膜相對上爲少之有機碎氧燒爲主成分的第二有機 (請先閱讀背面之注意事項再填寫本頁) 裝 l·---訂---- 經濟部智慧財產局員工消費合作社印製 -24
絕緣膜之步驟; . (C)在上述第二有機絕 ⑷將上述屏罩層:二^ 膜細刻阻隔物,對於:;:::上迷第-有機絕, 角減;1、上述罘一有機絕緣膜,在含氟痴 :把;虱中任—者之氣體氣氛中,施以電漿乾弋蝕岁 處理,而形成凹部之步驟; 弘水乾式蝕象 ⑷將自上述凹部露出之第—絕緣膜除去之步驟;及 (f)在上述凹邵内埋入導體膜之步驟。 52·本發明包含: ⑷:積以,夕氧燒爲主成分的第一有機“膜之步驟; (b) 上述第一有機絕緣膜上―,堆積以碳含量較上述第一 有機絕緣膜相對上爲少之有機嫩爲主成分的第二有機 絕緣膜之步驟; 钱 (c) 在上述第二有機絕緣膜上,堆積碳含有率較上述第二 有機絕緣膜爲多的第三有機絕緣膜之步步驟; (d) 在上述第二絕緣膜上,形成凹部形成用開口部之步驟· (e) 在上述(d)步驟後之上述第三有機絕緣膜上及自上述開 口邛硌出之第二有機絕緣膜上,堆積以碳含量較上述第三 有機絕緣膜爲少之有機矽氧烷爲主成分的第四有機絕緣膜 之步驟; ‘ (f) 在上述第四有機絕緣膜上形成屏罩層之步驟; (g) 知上述屏罩層作爲蝕刻屏罩,且將上述第一有機絕緣 膜及具有開口邵之第三有機絕緣膜作爲蚀刻阻隔物,對於 上述第二、第四有機絕緣膜,在含氟碳氣體及氧或氮中任 -25 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 l·---訂--- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(23 ) 一者之氣體氣氛中,施以雷喈 ’ w Λ %水乾式蝕刻處理,‘而形成凹部 之步驟; (h) 將自上述凹部露出之第—絕緣膜除去之步驟;及 (i) 在上述凹部内埋入導體膜之步驟。 53·本發明包含: (a”M貝以有機矽氧烷爲主成分的第一有機絕緣膜之步驟; (b) 在上述第一有機絕緣膜上,堆積以碳含量較上述第一 有機絕緣膜爲少之有機石夕惫& & 士# ^ 钺7虱)疋馬王成分的弟二有機絕緣膜 之步驟; (c) 在上述第立有機絕緣膜上,堆積碳含量、上述第二有 機絕緣膜爲多的襄三有機絕緣膜I步驟; (d) 在上述第三有機絕緣膜上,堆積以碳含量較上述第三 有機絕緣膜爲少之有機石夕氧垸爲主成分的第四有機絕緣膜 之步驟; (e) 在上述第四有機絕緣膜上形成第一屏罩層之步驟; (f) 將上述第一屏罩層作爲蝕刻屏罩,且將上述第一有機 絕緣膜作爲餘刻阻隔物,對於上述第二、第三、第四有機 絕緣膜,在含氟碳氣體及氧或氮中任一者之氣體氣氛中, 施以黾水乾式蚀刻處理,而形成第一凹部之步驟; (g) 在除去上述第一屏罩層後,在上述第四有機絕緣膜 上,形成第二屏罩層之步驟; (h) 將上述第二屏罩層作爲蝕刻屏罩,且將上述第三有機 絕緣膜作爲蝕刻阻隔物,對於上述第四有機絕緣膜,在含 氟碳氣體及氧或氮中任一者之氣體氣氛中,施以電漿乾式 裝-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) -26 494479 A7
請 先 閱 讀 背 之 注
寫裝 本衣 頁I /y A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(25 ) (:)在上述第-有機絕緣膜上,堆積 t 有機絕緣膜爲少之有機嫩爲主成分的第::述弟: 之步驟; 刀巧弟一有機絕緣膜 (:)在上述第二有機絕緣膜上,堆積 有機絕緣膜爲多之有機嫩爲主成分的第::述弟一 之步驟; 〈刀的罘二有機絕緣膜 (:在上述第三有機絕緣膜上,堆積以碳 有機絕緣膜爲少之有機秒氧烷 弟二 之步驟; .战刀的罘四有機絕緣膜 ⑷在上述第四有機絕緣膜上,堆積以碳 有機絕緣膜爲多足有機矽氧烷f 車又上述罘四 驟; u仏為王成分的第五絕緣膜之步 ⑴在上述第五絕緣膜上,形成凹部形成 =:r之上述第四、第五絕緣膜上,形二 ⑻將上述第-屏罩層作爲㈣屏罩,且將上述第 絕,膜作爲㈣阻隔物,對於上述第四有機絕緣膜r在本 鼠…a及氧或氮中任-者之氣體氣氛中,施以電漿乾: 蝕刻處理,而形成第一凹部之步驟; (ί)在除去上述弟一厚罩層德,將卜、+、>^. W 一 述弟五絕緣膜作爲蝕刻 * +上述第、第二有機絕緣膜作爲蝕刻阻隔物, 對於上述k、第四有制㈣,在含氟魏體及氧 中任-者之氣體氣氛中,施以電漿乾式㈣處理,^ 第二凹部之步驟; 成 -28 - --.---------裝-----„----訂--------- (請先閱讀背面之注意事項再填寫本頁) 木紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱)— A7
I I 訂 Φ 494479 五、發明說明(27 經濟部智慧財產局員工消費合作社印製 的第二絕緣膜之步驟; - ⑷將上述二絕緣膜上,形成凹部形成用開口部之步響· ⑷將上述⑷步驟後之第二絕緣膜上及自上述開口二 ^-有機絕緣訂,堆積以有❹㈣爲 (f) 在上述第二有機絕緣膜上,形成屏罩層之步驟; (g) 將上述屏罩層作爲蝕刻屏罩,對於上述第_、 機絕緣膜,在含氟碳氣體及氧氣之氣體氣氛中,施以電; 式蝕刻處理,而形成使上述第一絕緣膜露出的凹部之步/ ⑻將自上述凹部露出之第一絕緣膜除去之步、驟;及 (1)在上述凹部内蝰入導體膜之_步-驟; 在上述(,g)步驟時,藉由施以形狀優先條件下之蝕刻處理, 將上述第二有機絕緣膜之途中厚度位置爲止予以蝕除後, 藉由設定相對上述第一、第二絕緣膜之第一、第二有機 緣膜的蝕刻選擇比較上述形狀優先條件爲大之高選擇比 件’和上述第一、第二絕緣膜作爲钱刻阻隔物,將上述 一、第二有機絕緣膜蝕除,而形成上述凹部。 58·本發明包含: (a) 堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,堆積以有機矽氧烷爲主成分 第一有機絕緣膜之步驟; (c) 在上述第一有機絕緣膜上,堆積具有蝕刻阻隔物機 的第二絕緣膜之步驟; . (d) 在上述第二絕緣膜上,堆積以有機矽氧烷爲主成分 的 能 第 -30- 絕 條 第
(請先閱讀背面之注意事項再填寫本頁} 裝 0 I n n l·---訂--- φ. 經濟部智慧財產局員工消費合作社印製 494479 五、發明說明(28 一有機絕緣膜之步驟; * (e) j上述第二有機絕緣膜上,形成第一屏罩層之步驟; ⑴知上述第一屏罩層作爲蝕刻屏罩,對於上述第一、第 二1機絕緣膜,在含氟碳氣體及氧氣之氣體氣氛中,施以
私水乾式蝕刻處理,而形成使第一絕緣膜露出的第一 之步驟; P (g) 在除去上述第一屏罩層之後,在上述第二有機絕緣 上形成第二屏罩層之步驟; (h) 將上述第二屏罩層作蝕刻屏罩,對於上述第二有機絕 、彖膜在含氟碳氣體及氧氣之氣體氣氛中,施以電漿乾式 蝕刻處理,而形晟使第一、第二_絕緣膜露出的.第二凹部之 步驟; (0將自上述第一、第二凹部露出之第一、第二絕緣膜除去 之步驟;及 (j)在上述第一、第二凹部内埋入導體膜之步驟; 在上C⑴步展時’藉由施以形狀優先條件下之钱刻處理, 將上述第二有機絕緣膜之途中厚度位置爲止予以蝕除後, 精由設定相對上述第一、第二絕緣膜之第一、第二有機絕 緣膜的蚀刻選擇比較上述形狀優先條件爲大之高選擇比條 件’知上述第一、第二絕緣膜作爲蚀刻阻隔物,將殘餘之 上述第一有機絕緣膜蚀除,而形成上述第一凹部; 在上述(h)步驟時’藉由施以形狀優先條件下之触刻處理, 將上述第二有機絕緣膜之途中厚度位置爲止予以蝕除後, 藉由設定相對上述第一、第二絕緣膜之第一、第二有機絕 -31 - 本紙張尺度適用中國國家標準(CNS)A4規格⑵Q χ 297公餐) 裝-----r---訂--------- (請先閱讀背面之注意事項再填寫本頁) A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(29 ) 緣膜的蝕刻選擇比較上述形狀優先條件爲大之高選擇比條 件,將上述第一、第二絕緣膜作爲蝕刻阻隔物,將殘餘^ 上述第二有機絕緣膜蚀除,而形成上述第二凹部。 59·本發明包含: U)堆積具有蝕刻阻隔物機能的第一絕緣膜之步驟; (b)在上述第一絕緣膜上,堆積以有機矽氧烷爲主成分的 第一有機絕緣膜之步驟; (0在上述第一有機絕緣膜上,形成第一屏罩層之步驟; (d) 將上述第一屏罩層作爲蝕刻屏罩,對於·上述第一有機 絕緣膜,在含1碳氣體及氧氣之氣體氣氛〆,施以電漿乾 式蝕刻處理,而形>使第一絕緣滅露出的第一凹部之步驟^ (e) 在除去上述第一屏罩層之後,在上述第一有機絕緣膜 上形成第二屏罩層之步驟; (f) 將上述第二屏罩層作蝕刻屏罩,對於上述第一有機絕 緣膜,在含氟碳氣體及氧氣之氣體氣份中,施以電漿乾式 蝕刻處理,而形成使第一有機絕緣膜第二凹部之步驟; (g) 將自上述第-、第二凹部露出之第一絕緣膜除去之步 驟;及 (h) 在上述第一、第二凹部内埋入導體膜之步驟; 在上述(d)步驟時,藉由施以形狀優先條件下之蝕刻處理, 將上述第一有機絕緣膜之途中厚度位置爲止予以蝕除後, 藉由。又足相對上述第一絕緣膜之第一有機絕緣膜的蝕刻選 擇比較上述形狀優先條件爲大之高選擇比條件,將上述第 一絕緣膜作爲蝕刻阻隔物,將殘餘之上述第一有機絕緣膜 32- 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱 (請先閱讀背面之注意事項再填寫本頁) 裝 訂--- s'. 經濟部智慧財產局員工消費合作社印製 494479 五、發明說明(3〇 ) 触除,而形成上述第一凹部;而在上述⑺步聚 以形狀優先條件下之蝕刻處理,而 f楮由犯 60.本發明包含: 成上迷弟二凹部。 (a)堆積具有蚀刻阻隔物機能的第—絕緣膜之步驟; 在上述第-絕緣膜上,„以有機石夕氧^爲於主成分的 第一有機絕緣膜之步驟; (C)在上述第一有機絕緣膜上,堆積 L 男具有蝕刻阻隔物機能 的弟二絕緣膜之步驟; ⑷在上述第二絕緣膜上,堆積以有機碎氧燒爲主成分 二有機絕緣膜U之步驟; 1 -⑷在上述第二有’機絕緣膜上^積具有蚀刻.阻隔物機能 的第三絕緣膜之步驟; (f) 在上述第二絕緣膜上,形成凹部形成用開口部之步驟; (g) 在上述(f)步驟後之第三絕緣膜及第二有機絕緣膜上, 形成第一屏罩層之步驟; (h) 將上述第一屏罩層作爲蝕刻屏罩,對於上述第二有機 絕緣膜,在含氟碳氣體及氧氣之氣體氣氛中,施以電漿乾 式蝕刻處理,而形成使第二絕緣膜露出的第一凹部之步驟; (1)在除去上述第一屏罩層之後,將上述第三絕緣膜作爲蝕 刻阻隔物,對於上述第一、第二有機絕緣膜,在含氟碳氣 骨豆及氮氣之氣體氣氛中,施以電漿乾式蝕刻處理,而形成 使第一絕緣膜露出的第二凹部之步驟; (j)將自上述第一、第二凹部露出之第一、第二絕緣膜除去 之步驟;及 33- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --:---------裝-----^----訂---------^^^1 (請先閱讀背面之注意事項再填寫本頁) 一/ 7 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(31 ) (k)在上述第一、第二凹部内埋入導體膜之步驟; 十,)步驟時,藉由施以形狀優先條件下之蚀刻處理, 葬二二有機絕緣膜之途中厚度位置爲止予以蚀除後’ 二二:、+目對上述第二絕緣膜之第二有機絕緣膜的蝕刻選 二r繞r ^ $狀優先條件爲大之高選擇比條件,將上述第 ί蚀刻阻隔物,將殘餘之上述第二有機絕緣膜 蝕除,而形成上述第二凹部。 :1.緣本’上述第41〜5〇項、第56〜60頁中任-項之第-:圖式簡單口緣膜,係由氮切所構成者:。 -二爲:導體讀體電路之層間.絕緣膜材料 施以電㈣h 吴’在既碳氣體、氧氣及氬氣氣氛中, 圖2⑷〜寺,其蚀刻原理之模式性説明圖。 氧氣氛中,施以1:::嫩爲主成份之絕緣膜’在含 性説明圖。私水乾式蝕刻處理時,其蝕刻原理之模式 氧對於以有機矽氧烷爲主成份之絕緣膜,在含 μ電㈣式㈣處理時,其化學反應狀態之 形成溝或孔等卜π 刻處理時’藉而在其絕緣膜中 示之説明圖。〈凹部的製程中之斷面圖,以模式方式表 H ( ) (C)係將有機絕緣膜以(H〇)3SiCH3表示,將氮原子 本紙張尺度適用中國國 Q/1 ^------------------ (請先閱讀背面之注意事項再填寫本頁) 中文說明書修正頁(90年U月) 五、發明説明(32 90. ο 在分子附近安定化之配置,以分子軌道計算之結果模式性 表示之說明圖。 圖6 (a)〜(d)係在圖5所說明之含氮氣氣體氣氛中,相對以 有機碎氧燒為主成分之絕緣膜,進行電漿乾式蝕刻處理 時,以模式方式表示之斷面圖。 圖7係相對以有機矽氧烷為主成分之絕緣膜,例如進行 使用C^Fs/N^Ar氣體之電漿乾式蝕刻處理的場合,中途終 止性姓刻時及過姓刻時之溝及孔的斷面形狀以模式方式表 示之要部斷面圖。 圖8係相對以有機矽氧烷為主成分之絕緣膜,例如進行 使用C4F8/〇2/Ar氣體之電漿乾式蝕刻處理的場合,中途終 裝 止性蝕刻時及過蝕刻時之溝及孔的斷面形狀以模式方式表 示之要部斷面圖。 訂 圖9係在含氮氣之氣體氣氛中,作電漿乾式蝕刻處理 時以有機碎氧燒為主成分之絕緣膜的蚀刻速度及相對氮 化妙膜之姓刻選擇比的氮氣流量依存性之說明圖。 圖1 〇係依氣體種類之有機絕緣膜的蝕刻特性之說明 圖。 圖11係平行平板型RIE裝置之說明圖。 圖1 2係姓刻裝置之說明圖。 圖1 3係蝕刻裝置之說明圖。 圖14係本發明一實施型態之半導體積體電路裝置的 導體積體電路基板及要部斷面圖。 千 圖15(a)及(b)係圖14半導體積體電路裝置之製 頂視圖及斷面圖。 μ中《要部 圖1 6(a)及⑻係接續圖15之半導體積體電路裝置製程中 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐) 35- 494479^知 1 蘇.,泛 w 第89112416號專利申請案 丨祖[… 中文說明書修正頁(90年11月) € / _ 五、發明説明(33 ) 之要部頂視圖及斷面圖。 圖17(a)及(b)係接續圖16之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖18(a)及(b)係接續圖17之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖1 9(a)及(b)係接續圖1 8之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖2 0(a)及(b)係接續圖19之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖2 1 (a)及(b)係接續圖20之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖22(a)及(b)係接續圖21之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖23(a)及(b)係接續圖22之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖24(a)及(b)係接續圖23之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖25(a)及(b)係接續圖24之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖2 6(a)及(b)係接續圖25之半導體積體電_路裝置製程中 之要部頂視圖及斷面圖。 圖2 7(a)及(b)係本發明之其他實施形態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖2 8(a)及(b)係接續圖27之半導體積體電路裝置製程中 -36- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 494479 _ λ _ Α7 Β7 第89112416號專利申請案 中文說明書修正頁(90年11月) 五、發明説明(34 ) 之要部頂視圖及斷面圖。 圖29(a)及(b)係接續圖28之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 0(a)及(b)係接續圖29之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 1 (a)及(b)係接續圖30之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 2(a)及(b)係接續圖31之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖33(a)及(b)係接續圖32之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 4(a)及(b)係接續圖33之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 5(a)及(b)係接續圖34之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 6(a)及(b)係接續圖35之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖37(a)及(b)係本發明之其他實施形態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖3 8(a)及(b)係接續圖37之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖3 9(a)及(b)係接續圖38之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖40(a)及(b)係接續圖39之半導體積體電路裝置製程中 -37- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 494479, . ... ^ ;' v .广 第89112416號專利申請案 零 中文說明書修正頁(90年11月) 会?匕 ”、,Λϋ ' 五、發明説明(35 ) 之要部頂視圖及斷面圖。 圖4 1 (a)及(b)係接續圖40之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖42(a)及(b)係接續圖41之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖43 (a)及(b)係本發明之其他實施形態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖44(a)及(b)係接續圖43之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖45(a)及(b)係接續圖44之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖4 6(a)及(b)係接續圖45之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖47(a)及(b)係接續圖46之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖4 8(a)及(b)係接續圖47之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖49(a)及(b)係接續圖48之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖5 0(a)及(b)係接續圖49之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖5 1 (a)及(b)係本發明之其他實施形態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖5 2(a)及(b)係接續圖51之半導體積體電路裝置製程中 -38 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 494479
第89112416號專利申請案 中文說明書修正頁(90年11月) 五、發明説明(36 ) 之要部頂視圖及斷面圖。 圖53(a)及(b)係接續圖52之半導體積體電路震置製。 之要部頂視圖及斷面圖。 ^ ^ 圖5 4(a)及(b)係接續圖53之半導體積體電路 衣罝製程中 之要部頂視圖及斷面圖。 圖5 5(a)及(b)係接續圖54之半導體積體電路苗 分策置製程中 之要部頂視圖及斷面圖。 圖56(a)及⑻係接續圖55之半導.體積體電路裝置製呈 之要部頂視圖及斷面圖。 1中 圖5 7⑷及(b)係接續圖56之半導體積體電路裝置製程 之要部頂視圖及斷面圖。 王中 圖5 8 (a)及(b)係接續圖57之半導體積體電路裝置製程 之要部頂視圖及斷面圖。 1 圖5 9 (a)及(b)係接續圖5 8之半導體積體電路裝置製程 之要部頂視圖及斷面圖。 圖60係在以有機矽氧烷為主成分之絕緣膜中,用以形 成溝或孔等般之凹部的蝕刻處理時,處理氣體中之氧的^ 與上述次溝及相對氮化矽膜之蝕刻選擇比的關係之 = 果說明圖。 π 4- 二二係本發明之一實施型‘態,係 路裝置足製敉中^:要部斷面圖。 圖62⑷〜⑷係本發日月之__實施型態,係半導體積體電路 裝置之製程中之要部斷面圖。 圖63(a)及⑻係本發明之其他實施型態,係圖μ半導體 -39 -
494479 第89112416號專利申請案 中文說明書修正頁(90年11月) A7 B7
五、發明説明(37 ) 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖64(a)及(b)係接續圖63之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖65(a)及(b)係接續圖64之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖66(a)及(b)係接續圖65之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖67(a)及(b)係本發明之其他實施型態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖6 8(a)及(b)係接續圖67之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖6 9(a)及(b)係接續圖68之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖70(a)及(b)係接續圖69之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖7 1 (a)及(b)係本發明之其他實施型態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖72(a)及(b)係接續圖71之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖73(a)及(b)係接續圖72之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖74(a)及(b)係接續圖73之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖75(a)及(b)係接續圖74之半導體積體電路裝置製程中 -40- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 494479 第89112416號專利申請案 中文說明書修正頁(90年11月) A7 B7
五、發明説明(38 ) 之要部頂視圖及斷面圖。 圖7 6(a)及(b)係接續圖75之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖77(a)及(b)係接續圖76之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖7 8(a)及(b)係接續圖77之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖79(a)及(b)係本發明之其他實施型態,係圖14半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖8 0(a)及(b)係接續圖79之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖8 1 (a)及(b)係接續圖80之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖82(a)及(b)係接續圖81之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖83(a)及(b)係接續圖82之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖84(a)及(b)係接續圖83之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖85(a)及(b)係本發明之其他實施型態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖8 6(a)及(b)係接續圖85之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖87(a)及(b)係接續圖86之半導體積體電路裝置製程中 -41 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 494479 A7 B7
b -5 rf / ψ rf·, 苐89112416號專利申請案 中文說明書修正頁(90年11月) 五、發明説明(39 ) 之要部頂視圖及斷面圖。 圖8 8(a)及(b)係接續圖87之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖8 9(a)及(b)係接續圖88之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖90(a)及(b)係接續圖89之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖91(a)係平行平板型狹電極RIE裝置中,將以有機矽氧 烷為主成分之絕緣膜及氮化矽膜蝕除時之處理氣體中,氧 流量與C F系氣體流量之比,與各膜之蝕刻速度之關係的 曲線圖;(b)係在上述蝕刻條件下,將有機絕緣膜之溝圖 案加工時的蝕刻形狀觀測結果之模式方式說明圖;(c)係 相對C F系之氣體的氧之比,與相對蝕刻深度之次溝的深 度之比二者的關係曲線圖。 圖92(a)係將平行平板型狹電極RIE裝置之高頻電力密度 的範圍減小時,相對處理氣體中的氧流量與C F系的氣體 流量之比,上述有機絕緣膜及氮化碎膜之姓刻速度與其之 關係的曲線圖;(b)係在上述蝕刻條件下,將有機絕緣膜 之溝圖案加工時的蝕刻形狀觀測結果之模式方式說明圖; (c)係相對(a)場合C F系之氣體的氧之比,與_相對蝕刻深度 之次溝的深度之比二者的關係曲線圖。 圖93(a)及(b)係本發明之其他實施形態,係圖1 4半導體 積體電路裝置之製程中之要部頂視圖及斷面圖。 圖94(a)及(b)係接續圖93之半導體積體電路裝置製程中 -42- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂 气 494479 91:
1L 6 尽“咳 第89112416號專利申請案 中文說明書修正頁(90年11月)
發明説明(40 之要部頂視圖及斷面圖。 置製程中 圖95(a)及(b)係接續圖94之半導體積體電路裝 之要部頂視圖及斷面圖。 中 圖96(a)及⑻係接續圖95之半導體積體電路裝 之要部頂視圖及斷面圖。 & ^ 圖97⑷及⑻係本發明之其他實施形態,係圖14 積體電路裝置<製程中之要部頂視圖及斷面圖。 圖98(a)及(b)係接續圖97之半導體積體電路裝 之要部頂視圖及斷面圖。 王中 圖99⑷及(b)係接續圖98之半導體積體電路裝 之要部頂視圖及斷面圖。 王甲 圖H)0(a)及⑻係接續圖99之半導體積體電路 之要部頂視圖及斷面圖。 衣狂甲 圖101(a)及(b)係接續圖1〇〇之半導體積體電路 之要部頂視圖及斷面圖。 灰&中 圖102係有機絕緣膜巾之有機量比與㈣速度 曲線圖。 的 圖1〇3⑷、⑻係有機絕緣膜中之有機量比與餘刻選擇比 的關係之曲線圖。 π评m 圖104⑷係上述圖1G3(a)、(b)之結果整合成—個所得的 曲線圖:爾各有機量比之有機絕緣膜的化學
模式方式說明圖。 K 圖105係在有機絕緣膜中形成溝或孔等般之溝時,在作 為⑽物使用氮化發膜及使用相對性上有機量多之半 -43- 494479 _ί‘ 叫, 、.一 第89112416號專:¾申霉翁 中文說明書修正頁(90年^月)
五、發明説明(41 導體積體電路的場合,溝之尺寸與㈣選擇 測定結果曲線圖。 關係的 圖106係在有機絕緣膜中形成溝或孔等般之溝時 為姓刻阻隔物使用氮切膜及使用相對性上有機量多之主 導體積體電路的場合’孔之尺寸與蚀刻選擇比間二 測定結果曲線圖。 係的 圖酬、由發明人等所所獲得之各㈣緣膜 合性說明圖。 圖广、8⑷、⑻係相對上有機量多之有機絕緣膜 •里少足有機絕緣膜’可使姓刻選擇比高之理由的諸 區I。 ♦ 口 u /j 之综 圖1〇9係本發明其他實施型態之半導體積體電 要部斷面圖。 夏 圖110係本發明其他實施型態之半導體積體電路 要部斷面圖。 罝 圖111係本發明又一實施型態之半導體積體電路 要部斷面圖。 | 圖112(a)及(b)係本發明其他實施型態,係圖14 體電路裝置之要部頂視圖及斷面圖。 月 圖II3⑷及(b)係接、續圖⑴之半導體積體電路裝 之要部頂視圖及斷面圖。 圖114(a)及(b)係接續圖113之半導體積體電路裝 之要部頂視圖及斷面圖。 王中 圖115(a)及(b)係接續圖lu之半導體積體電路裝置製程中 之 之 之 -44-
49447¾ 第89112416號專利申請案 中文說明書修正頁(90年11月) ~STFT:5—: A7 年/] * B7
五 、發明説明(42 ) 之要部頂視圖及斷面圖。 圖116(a)及(b)係接續圖115之半導體積體電路裝置製程中 之要部頂視圖及斷面圖。 圖117(a)及(b)係接續圖116之丰導體積體電路裝置製程中 之要部頂視圖及斷面圖。 [發明之詳細說明] 在將本發明詳細說明前,茲將本發明中之用語的意義說 明如次。 1 .化學機械研磨(CMP: Chemical Mechanical Polish)—般係 指在將被研磨面以由相對上柔軟之如布般之膜片狀材料等 所構成研磨塾接觸之狀態,一面供給繁液,一面在面方向 上相對移動進行研磨。於本發明中,除此之外還包括與硬 質之磨石面相對移動之CML (Chemical Mechanical Lapping) 等。 2 .裝置面係指在半導體晶圓之主面上,藉由光刻法形成有 對應於複數個晶片區域的裝置圖案之圖。 3 .埋入式配線,係指藉由如單一式金屬鑲嵌(Single Damascene)或二元金屬鑲嵌(Dual Damascene)等,在絕緣 膜中形成溝等,在其中埋入導電膜,而後再將不必要之導 電材料除去之配線形成技術所形成的經圖案化配線。又, 一般而言,單一式金屬鑲嵌係指分兩階段將插件金屬及配 線用金屬埋入之埋入式配線程序。同樣地,二元金屬鑲 嵌,一般係指一次即將插件金屬及配線用金屬埋入之埋入 式配線程序。 4·半導體積體電路晶圖(半導體積體電路基板)或半導體晶 -45- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(42 ) 圖Μ係接續圖115之半導體 製 斷面圖。 崎衮置王中艾要邵 圖117係接續圖116之半導體積體 斷面圖。 义合衮置製私中炙要邵 [發明之詳細説明] 在將本發明詳細説明前, 明如次。 4本發明中之用語的意義説 1 ·化學機械研磨(CMP: Chemical MeA . · 指在將被研磨面以由相對上 ^nical p°llsh) 一般係 所Μ & m & # 柔权足如布般之·膜片狀材料等 所構成研㈣‘接觸之狀態,_面供给_ 上相對移動進行辑磨。於 / 在面万向 質;本發财,除此之㈣包括與硬 ^磨石面相對移動之CML (Chemieal MM— Lapp—) =面Γ指在半導體晶圓之主面上,藉由光刻法形成有 ί息万;後數個晶片區域的裝置圖案之圖。 【埋入式配線’係指藉由如單一式金屬鑲嵌⑸叫le Φ:Τ;η::或二元金屬鑲嵌(DualDamascene)等,在絕緣膜 、溝等’在其中埋入導電膜,而後再將不必要·之導電 ,料f去之配線形成技術所形成的經圖案化配線。又,一 般而言,單-式金屬鑲嵌係指分兩階段將插件金屬及配線 用至屬埋人之埋人式配線程序。同樣地,二元金屬鎮嵌, 一般係指一次即將插件金屬及配線用金屬埋入之埋入式配 線程序。 . 4 ·半導體積體電路晶圖(半導體積體電路基板)或半導體晶 -----------裝-----r---訂---------^^^1 (請先閱讀背面之注意事項再填寫本頁) 45-
五、發明說明(43) 經濟部智慧財產局員工消費合作社印製 圓(半導體基板),係指用於半導體積體電路之製程的矽單 結晶基板(-般係、大致平面圖形)、藍寶石基板、玻璃基板 或其他之絕緣、反絕緣或半導體基板等,以及渠等之複合 基板。 5 ·有機矽氧烷’-般係指在具有矽氧烷鍵結之矽化合物 上,結合有貌基、埽丙基等有機官能基之有機化合物、聚 :物及含渠等之共聚物。在樹脂之領域,也稱爲矽酮樹 脂。在本説明書中,有時也稱爲有機絕緣膜。 6·有機S〇G(Spin〇nGlass), 一般而言係指將矽氧烷聚合 物或與其他單體之共聚物上,結合各種有_官能基之高分 子樹脂,溶於溶—劑中,再將其轉塗布於半導體晶圓上所 形成之層間絕、緣膜材料。一般而t,其#徵係在於,與無 機SOG相較,爲了在硬化後不易形成龜裂,可形成地較 厚。有機矽氧烷系層間絕緣膜材料中,有依存於CVD 學汽相沈積)者。 7·稱石夕氮化物、氮化料,不只是叫队,還包含碎之氮化 物的類似組成之絕緣膜0 人 8·蝕刻阻隔物,原則上係指蝕刻對象膜之相對該蝕刻阻隔 物膜的蝕刻選擇比在i以上者(A相對B之蝕刻選擇比χ, 係指A之蝕刻速度爲χ下,B之蝕刻速度爲㈠。亦即,原 則上,係指較之蝕刻對象膜,蝕刻速度較慢之膜,置於對 象膜之下部。一般而言,在對象膜之蝕刻完全終了後,自 此開始’以姓刻速度低之蝕刻阻隔膜停止蝕刻。在本發明 之目的下,實用上,上述蝕刻選擇比有丨·5程度以上即屬充 -46- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) r ------------------- (請先閱讀背面之注意事項再填寫本頁) A7 B7 五、 發明說明(44 ) 經濟部智慧財產局員工消費合作社印製 份’爲了確保充份之程序餘裕,宜在4程度以上。 9·屏罩層一般係指光阻膜,但也包含無機 有機物屏罩。 次非感光性 ⑺·異常形狀(次溝)係指將溝或孔等般之 占砝 土、、, 丨乂死式I虫刻形 寺n茲凹部之内側面遠離之部位,反而是在 =面之正下部’因被㈣膜之㈣速度等增 ^ 非本來目的之形狀。 队I 11·通孔係、指爲了將不同之配線層間作電氣接續,在配 間d緣膜穿設之孔,在本説明書中,也包含爲了將配: 層與半導體積货電路基板接續,在配線層與、導體積體電 路基板之間的絕、篆膜中穿設之接為孔。 、私 、以下 < 貫施形態中,爲方便起見,在有必要時,係分成 複數個部份或實施形態作説明,但除了有特別明示之:, 此等郅份或實施形態並非彼此無關,一者有包含另者之一 邵份或全邵之變形例、詳細、補充説明等之關係。 又,於以下之實施形態中,在提及要件之數量等(包含 個數、數値、量、範圍等)時,除特別明示及在原理上^ 顯地限於特定數量之場合以外,並不限於選特定數量,可 爲該特定數量以上或以下。 再者,於以下之實施形態中,其構成要件(也包含要件 步驟等),除特別明示及在原理上有明顯爲必須之考量的 場合等以外,不消説並不一定爲必須。 同樣地’於下述之實施形態中,有提及構成要件等之形 狀、位置關係等時,除特別明示及在原理上有顯然並非如 -47 本紙張尺度過用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 l·---訂--- A7 A7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 、發明說明(45 ) 量的場合等以外’實質上包含與其形狀等近似或類 者寺寺。此點就上述數値及範圍亦是如此。 又’於本發明中,稱半導體積體電路裝置時,不只是在 日曰,或i賣石基板等之半導體或絕緣膜基板上作成者, 曰日7在明不並非如此之場合以外,還包含在tft(薄膜電 =)及STN (超扭轉向列)液晶等般之玻璃等其 板上所作成者等等。 豕丞 鉍知本發明之實施形態佐以圖面作詳細説明。 以相;施:t説明用之所有圖中’具有同一.機能者係標記 )处σ又付唬’至於其重複説明在此省略二又,於一實施 =::=,型奶贿(金屬&緣體半導體場效電:體) 卜馬PMIS,η通這型MISFET係簡稱爲nMIS。 (貫施形態1 ) 的t::形態―’係將具有對於以有機矽氧烷爲主成分之 氣:!:(二下亦稱爲有機絕緣膜),在含氣碳氣體及氮氣之 線=夙中’施以電漿蚀刻處理’而在其絕緣膜上形成配 :明=溝或孔等般之凹部的步驟本發明技 呪明之實施形態。 “月丘 恭:先’在將本實施形態説明之前,就發明人等爲完成本 :::T之技術及其課題等説明之。叫)〜(二= 了電Λ裝置之層間絕緣膜材料-般使用的氧化梦 仰02寺),在氟碳(CxFy)氣體、氧(犧及 中乾式蚀刻處理時之蚀刻原理模式説明圖 D回1⑷所不’若在蚀刻處理室内生成電聚’電聚中之 ^尺度_ fiiii準(CNS)A4規格⑵0 χ -48- ^97公爱) --'·---------^-----r---^---------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7
494479 A7 B7 五、發明說明(47 ) 根據發明人等所作之分子軌道法計算發現,在氧添 氧氣中之有機絕緣膜的電漿乾式蚀刻處理,不只是 Τ撝助蝕刻,還由源自〇*之化學蝕刻進行。 0*係將c F㈣積物中之C2F4化學㈣卜例如,如圖3(a) 尸不’ 〇右接近从,則最終分解成揮發性高之c〇f。蚨 二?:卻不會將C F系堆積物中之CAM &化學㈣(離 ^發生)。例如,如圖3(b)所示,〇*即使接近 C2F5H ’氧仍然不會與氟起結合之化學反應。〖,例如如 訂 圖3⑷所示’ 〇*即使與C2li6接近,其碳與氫間會介有氧而 形:…忆合物。是以,氧原子在㈣堆積物厚的部 位係受CF系堆積·-物的妨礙而無^攻擊有機絕.緣膜,但在 CF系堆積物薄的部位,則相信可攻擊有機絕緣膜。 此人處’圖4⑷〜⑷所示的是,例如使用ΜΑ氣體,且以 h鼠化石夕版虫刻選擇比設成高之狀態的電聚乾式蚀刻 處理’對於有機絕緣膜進行電聚乾式蚀刻處理,而在有機 絕緣膜上形成溝或孔.等之凹部的步驟中之模式性斷面圖。 如圖4⑷所示’絕緣膜5〇例如係由氮化石夕膜所構成,並上 形成有有機絕緣膜51。有機絕緣膜51上係以光阻膜”作 爲蚀刻厚罩,而形成凹部53。此—凹部”之底部處,a 系之堆積物54的厚度不均一。亦即,凹部W之底中央, CF系堆積物54相對上較厚,相對於此,其底周邊處,Μ 系堆積物54相對上較薄。因此,如圖4(b)所示,凹部以 底周邊處,0*將CF系堆積物54化學蝕刻的結果,下層之 有機絕緣膜51的上面露出。藉此,如圖4⑷所示,凹部53 j_ -50- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 g 494479 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(48) 之底周邊部CH3被除去,而經多孔化。如此,嚷凹部5 3之 底周邊處,CT將有機絕緣膜5 1化學蚀刻。此一狀態下,如 圖4(d)所示,若該凹部5 3之底周邊部入射如CF+等般之具 有能量的粒子時,經多孔化之有機絕緣膜5 1將容易地遭離 子協助蝕刻。如此,在凹部5 3之底周邊處,將會形成較底 中央爲深之掘入溝(以下稱爲次溝)5 5。當次溝5 5 —旦形 成’在次溝5 5之斜面處,C F之堆積物5 4將難以形成,因 此,如圖4(e)所示,接受〇*攻擊的結果,次溝5 5將會增 大、變深。次溝5 5之問題,在孔或溝之深寬·比愈大,則因 孔或溝之底所^堆積的CF系堆積物54之膜厚'變得不均一之 、故會變得更爲顯> . 另一方面,對於氮化矽膜,若在含氧氣體氣氛中施以電 漿乾式蝕刻處理,則其蝕刻只在以離子協助下進行。因 此,在含氧氣體氣氛中,若施以電漿乾式蝕刻處理,則有 機絕緣膜將如上所述般之有化學蝕刻與離子協助蝕刻二者 心蝕刻作用,相對於此,氮化矽膜則只有離子協助之蝕刻 進行,目此,蚀刻選擇比變高。亦即,若增加氧的量,可 使有機絕緣膜與氮化秒膜之蚀刻選擇比提高,但是,次溝 ^會增大。反之’若減少氧的量,則次溝的發生雖會受到 抑制,但有機絕緣膜與氮化石夕膜之银刻選 如是,根據發明人等之研討結果發現 : 材料若使用有機絕緣膜時,在蚀刻處理之際 乾式蚀刻處理,則會產生上述次溝之問題,另一方面,若 本紙張尺度適用^0國家標準(CNS)A4規格⑵〇: 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝-----r---訂--- Φ 494479 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(49 ) 滅少抑制次溝之氧的量,則上述蝕刻選擇比將無法獲得, 是爲其問題。 是以’發明人等乃在進行電漿蚀刻處理時,代替上述氧 導入氮實驗的結果,發現不僅可抑制次溝之發生,同時可 確保蚀刻選擇比。圖5(a)〜(c)係將有機絕緣膜以(H〇)3SiCH3 表不’就將氮原子在分子附近安定化配置,以分子軌道計 算之結果,以模式性所作之表示。其結果發現,如圖5(a) 所氮原子係由(HO)3SiCH3*子所示,不會吸附,且反應 f生低淮和氮原子超過2eV程度之障壁時,如圖5(b)所示, 則會吸附,而、如圖5(c)所示般之安定化,不-會發生化學蝕 刻疋以,有機:絕緣膜針對氮袁之添加,只有在離子協助 下進行蝕刻。同樣之分子軌道計算的結果發現,氮氣自由 基對於例如CJ#分子等般之CF系堆積物不會吸附,反應 性低。又,對(:2札或CJ4等,安定能量會成爲負値,判斷 反應有障礙。因此,氮原子無法將CF系之堆積膜化學蝕 刻相信是作離子協助蝕刻。由以上説明可知,在氮添 加炙%合,不會產生有機絕緣膜中之CH3的拉除現象,故 不會發生次溝。 圖6(a)〜(d)係在圖5所説明之含氮氣氣體氣氛中,對於有 機絕緣膜作電隸式㈣處理時之模式性斷面圖。如圖6⑷ 所示,在由氮化矽膜等所構成之絕緣膜〖上,堆積 絕緣膜2,其上又經圖案化形成有作爲蝕刻屏罩發 之光阻膜3。形成於有機絕緣膜2之凹部4的底上,吸= CF系之堆積物D,此一場合也是,其厚度在凹部4之底面 -52- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) 裝 訂----- 秦! A7 A7 經濟部智慧財產局員工消費合作社印製 ---------— B7 五、發明說明(50 ) 内不均-。亦即’相對凹部4之底中央處cf:手:堆積物〇相 對上較厚,其底周邊之CF系堆積物則相對地較薄。炊 而,如圖6⑻所示,此一場合下,對於CF系堆積❹薄的 邵位即使N (氮自由基)接近,如上所述,也不會發生化學 I虫刻,因此,凹部4之底部外周部的有機絕緣膜2將不合多 孔化’也沒有蝕除現象。此—狀態下,如圖6(c)所示,藉 由CF 、Ar+或N+等之具有能量的離子入射至凹部4之底 部’離子協助蝕刻進行。是以’ &一場合下,其蝕刻係在 凹邵(底面内大致均等地進行,因此,如圖6⑷所示,在 凹部4之底部上,不會形成上述之次溝。1 其次’發明人葶又如上所述,改換氣體種類.,實際上又 對有機絕緣膜作電漿乾式蚀刻處理。實驗中之觀測結果的 模式圖,係如圖7及圖8中所示。 口圖7係在例如使用氣體之電漿乾式蝕刻處理的 % 口,中途中止性蝕刻時及過蝕刻時之溝(凹部)4 &及孔 (凹4 ) 4 b〈棱式性斷面圖。又,中途中止性蚀刻,係未達 溝4a及孔4b之底面,而在有機絕緣膜2之厚度方向的途中 位置終端程度之蝕刻處理,過蝕刻係溝心及孔#之底面 達於絕緣膜1程度之蝕刻處理。 ,絕緣膜1例如係由電漿CVD法所形成之氮化矽膜所構 成,其厚度例如係100 nm程度。此一絕緣膜丨具有作爲蝕 刻阻隔物之機能。其上之有機絕緣膜2,例如係如有機 SOG膜所構成,其厚度例如打㈤之程度。.又,其上之絕 緣膜,例如係由利用TE〇s (四乙氧基矽烷)氣體之電漿 裝-----^----訂---------· (請先閲讀背面之注意事項再填寫本頁) -53· A7 B7 的 機 經濟部智慧財產局員工消費合作社印製 0.25 "111及 五、發明說明(51 ) CVD法所形成之氧切膜所構成,其厚度例如·爲 程度。此一絕緣膜5主要且古田、,—/ > 吴王要具有用以確保有機絕緣膜2機械強 反 < 機此。疋以,也可不辞士 έ矣 巴緣膜5。溝4a其長度例如 :所….20…及0.25_、及4〇㈣之三種。孔 僅例如爲所示之〇.20㈣、0.25㈣及4.0㈣之三種。 蚀刻裝置例如係使用平行平板型狹電極RIE (反應性離子 蚀刻)裝置。蚀刻條件爲如下所示。處理時之處理室内壓 力例如2〇mT之程度,高頻電力例如爲i〇〇/6〇〇 w程度。 CA/NVAr氣體之流量比例如爲12/2〇〇/3〇〇⑽以之程度。處 理時之下部電迤的溫度例如爲2(Γ(:之程度。* 、在此種含氮氣Ϊ漿乾式蝕刻處-理中,如圖7所示,溝“ 或孔4 b足底邵,上述次溝不會發生,而且,獲得相對氮化 膜之選擇比高至7 · 4程度之値。 另一方面,圖8代替上述N2使用〇2之場合下,中途中止 性蝕刻時及過蝕刻時,溝53a及孔53b的斷面形狀之模式性 表示。 絕緣膜5 0例如係由使用TE〇S氣體之電漿SVD法所形成 氮化矽膜所形成,其厚度例如爲丨〇() ηηι之程度。其上之有_ 絕緣膜,例如由有機s〇G膜所構成,其厚度例如爲4〇〇 nm之 程度。又’其上之絕緣膜5 6例如係由使用TE〇S氣體之電 SVD法所形成的氧化矽膜所構成,其厚度例如爲100 牙王度。溝53a其長度例如爲所示之0.2 //m、0.25 及4.0 "m 之二種。孔53b之直徑例如爲所示之0.20 An 4.0 "m之三種。 54- 表紙張尺度適用中國國家標準(CNS)A4規格⑵Q x 297公爱) 裝---------訂---- (請先閱讀背面之注意事項再填寫本頁) 494479 :‘正 %月:日修正/更正/補充 第89112416號專利申請案 中文說明書修正頁(90年11月) 五、發明説明(71 絕緣膜2 c上堆積絕緣膜5 c而成。 而後,如圖38所示,在層間絕緣膜nf上塗布與上述反 射防止膜21a具有相同厚度之反射防止膜21〇。繼之,在該 反射防止膜21e上形成上述光阻膜(屏罩層)3c之後,將該 光阻膜3 C作為蝕刻屏罩,將自其露出之反射防止膜21e, 層間絕緣膜17f以電漿乾式蝕刻處理依序除去。藉此,如 圖3 9所示在層間絕緣膜17f、絕緣膜1 d、層間絕緣膜17e 中形成通孔4b3。自此通孔4b3之底面,絕緣膜卜露出。通 孔4b3之直徑,例如為〇·25以⑺程度。此一反射防止膜2^ (蝕刻條件,係與上述反射防止膜21a之蝕刻條件相同。 又,層間絕緣膜17f、絕緣膜ld及層間絕緣膜17e之蝕刻處 理,例如係分三階段作蝕刻處理。亦即,第一蝕刻處理, 係在氧化矽膜較氮化矽膜易於蝕除之條件下,藉由蝕刻處 理將絕緣膜1 d作為蝕刻阻隔物,而將層間絕緣膜i 7f蝕 除。而後,第二蝕刻處理,係在氮化矽膜較氧化矽膜易於 姓除之條件下,藉由蝕刻處理將絕緣膜丨d蝕除。之後, 第二蝕刻處理,係在與上述第一蝕刻處理相同之條件下, 將絕緣膜1 c作為蝕刻阻隔物,將層間絕緣膜!化蝕除。層 間絕緣膜17e、17f之蝕刻處理中,係應用本發明之技術思 想。其姓刻條件,係與上述層間絕緣膜1 7c之蝕刻條件相 同。由氮化碎膜等所構成之絕緣膜1 ^的蝕刻條件,係與 上述絶緣膜1 a〜1 d之姓刻條件相同。 繼之’將光阻膜3c及反射防止膜21e以灰化處理如圖40 般之除去後,如圖4 1所示,在層間絕緣膜丨7f上及通孔朴3 -74 本紙張尺度適$中國國家標準(CNS) A4規格(210 X 297公釐) 494479 A7 五、發明說明(72 ) 内,塗布反射防止膜2 1 f。反射防μ瞄 、 久耵I万止艇21f足厚度係因埋入 通孔4b3之故,較上述反射防止膜2u爲厚,例如程 度。而後,在上反射防止膜2lf上,形成上述光阻膜^之 後,將該光阻膜3d作爲㈣】屏罩,將自其露出之反射防止 膜2!f及層間絕緣膜17f以電漿乾式蝕刻處理依序除去。藉 此,如圖42所示,在層間絕緣膜17f上形成溝牦2。又,在= 蝕刻處理後,通孔4b3之底部殘留有反射防止膜2if。又, 溝4叙底面上,殘留有由氮化梦M所構成之絕緣膜^。 上述反射防止膜2if之蝕刻條件係如下所述。亦即,處理 氣體例如係使用化/〇2,其流量比例如爲35/5〇 sccm程度。 處理室内之壓力例如爲i 〇 mT 〇 ΓΓ程度,高頻電力例如爲 500/140 W程度,下部電極溫度例如爲喜c程度。作爲蚀 刻裝置,例如使用平行平板型狹電極RIE裝置。又,層間 絕緣膜17f之蝕刻處理係使用本發明之技術思想,將絕緣 膜Id作爲蝕刻阻隔物發揮機能^其蝕刻條件係與上述層間 絕緣膜17c之蝕刻條件相同。 (後,將光阻膜3 d及反射防止膜2if以灰化處理除去, 獲得與上述實施形態1所用之相同於圖3 4的構造,以降之 經濟部智慧財產局員工消費合作社印製 製程係與上述實施形態i之圖3 5及圖3 6所説明的步驟相 同,在此省略其説明。 於此實施形態2中,可獲得與上述實施形態丨所獲得的效 果相同之效果。特別是可使深寬比高之通孔4b3以斷面形 狀典不良之方式,在確保相對氮化矽膜有高的蝕刻選擇比 下形成。 75- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494479 中文說明書修正頁(90年11月) 9〇· | f —— 年ΓΙ修正
發明説明(《73 :“r;:爹一 / 疋」j: / _ 无 苐89112416號專利申請案 (實施形態3 ) 本實施形態3係說明上述實施形態丨、2之變形例,係 本發明之技術思想應用於與上述實施形態丨、2所說明之 二元金屬鑲嵌法不同之二元金屬鑲嵌法的場合作說明。^ 將本實施形態3之半導體積體電路裝置的製造方法,以: 43〜圖50說明之,又,於圖43〜圖5()之各圖中,⑷係圖 14半導體積體電路裝置製程中之要部斷面圖,(…係 A - A線斷面圖。 首先,在圖37所示之層間絕緣膜17f上,如圖43所示, 形成例如由氮化矽膜所構成之絕緣膜2 2。此一絕緣膜2 2 係溝或孔形成時之蝕刻屏罩用部件,其厚度例如為15〇 程度。又,絕緣膜22不限於氮化矽膜,也可作各種變 更,例如可使用含氮化鈦、鈕、氮化妲、多晶矽或可作為 此等絕緣膜2 2使用之膜中,至少一種之膜。 繼之,如圖4 4所示,在絕緣膜2 2上之塗布反射防止膜 21e。而後,在該反射防止膜21e上形成上述光阻膜(屏罩 層)3d之後’如圖45(a)及(b)所示,將該光阻膜3d作為姓 刻屏罩,將自其露出之反射防止膜21e及絕緣膜22以電漿 乾式蝕刻處理依序除去。藉此,在絕緣膜2 2上形成使層 間絕緣膜17f上面露出之開口部23。上述反射防止膜2卜之 姓刻條件,與上述反射防止膜21 e之蝕刻條彳牛相同。又, 絕緣膜2 2之蝕刻條件,與上述絕緣膜1 d之蝕刻條件相 同0 之後,在將光阻膜3 d以灰化處理·如圖46所示之方式除去 後,如圖4 7所示,在絕緣膜2 2上及開口部2 3内,塗布與 -76- 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公爱:)
裝 訂 ή 49447¾ 中文說明書修正頁(90年 五、發明説明(74 ) 上述反射防止膜21a相同厚度之反射防止膜21g。而後,於 这反射防止膜21g上形成上述光阻膜3 c之後,將此光阻膜 3 c作為蝕刻屏罩,將自其露出之反射防止膜21§、層間絕 緣膜17f、絕緣膜id及層間絕緣膜17e以電漿乾式蝕刻處理 依序除去。藉此,如圖4 8所示,在層間絕緣膜丨7f、絕緣 膜ici及層間絕緣膜17e中形成通孔4b3。通孔4b3之底面殘 留有層間絕緣膜1 7 e。 上述反射防止膜21g之蝕刻條件,係與反射防止膜2U之 蝕刻條件相同。又,層間絕緣膜17f、絕緣膜ld及層間絕緣 膜17d之蝕刻條件,係如下所示。亦即,處理氣體例如係 使用CHF3/〇2/Ar,其流量比例如為5〇/1〇/5〇〇 程度。處 理至内 < 壓力例如為5〇 m丁〇ΓΓ程度,高頻電力例如為 2200/1400 W程度,下部電極溫度例如為-2〇t:程度。作為 蝕刻裝置,例如使用平行平板型狹電極RIE裝置。蝕刻終 點係依蝕刻時間之設定而定。 其次,將光阻膜3 c及反射防止膜21f以灰化處如圖幼般 足除去後,將殘留之絕緣膜2 2作為蝕刻屏罩,且將絕緣 膜ic、id作為蝕刻阻隔物,將由開口部23通孔仆3底面露 出之層間絕緣膜l7e以電漿乾式蝕刻處理如圖5 〇所示般之 除去。藉此,形成溝4a2及通孔4b3。自此一溝4&2之底 面,絕緣膜id之上面露出,自通孔4b3之底面,絕緣膜卜 之上面露出。此時之蝕刻處理,係採用本發明之技術思 想,其條件係與上述層間絕緣膜17c之蝕刻條件相同。 而後’將絕緣膜1 c、i d、2 2以電漿乾式蝕刻處理除 -77- 494479
五、發明說明(75 經濟部智慧財產局員工消費合作社印製 去。在此蝕刻處理時,係將氮矽膜選擇性地除去。此一蝕 2條件與上絕緣膜1(:之蚀刻條件相同。如此,獲得與上述 實施形態1所用之圖3 4相同的構造。自此以降之製程,係 與上述實施形態1之圖35及圖36所説明的步驟相同,故在 此省略其説明。 於此一實施形態3中,可獲得與上述實施形態丨所獲得之 效果相同的效果。 (實施形態4) 本實施形態4係説明上述實施形態1之變形例,係在層間 絕緣膜之中間層中,不設置由氮化矽膜所構成之作爲蝕刻 阻隔物發揮機能的絕緣膜而還形成埋入式配線的二元金屬 鑲嵌法中,適用本發明技術思想之場合,進行説明,本實 施形態4之半導體積體電路裝置的製造方法,係以圖5 i〜 圖5 9説明。又,圖5 1〜5 9各圖中,⑷係半導體積體電路 裝置之製程中的要邵平面圖,(b)係⑷之A _ A線斷面圖。 如圖5 1所示’上述絕緣膜1 c上,堆積有層間絕緣膜 17p。層間絕緣膜17p係有機絕緣膜2 d及絕緣膜5 d由下層 依序堆積而成。有機絕緣膜2 d係與上述有機絕緣膜2 a〜2 c 由同材料及形成方法構成,其厚度較上述者爲厚,例如爲 650〜800 nm程度。又,絕緣膜5d係與上述絕緣膜5卜5()同 材料,具有相同之厚度、形成方法及機能。 首先,在圖5 1所示之層間絕緣膜πρ上,如圖5 2般之塗 布上述反射防止膜21e之後,形成上述光阻膜(屏罩層) 3 c。而後’將此光阻膜3 c作馬蚀刻屏罩,將自其露出之反 -78- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----------------r----訂-------- (請先閲讀背面之注意事項再填寫本頁) 494479
五、發明說明(76 ) 經濟部智慧財產局員工消費合作社印製 射防止膜21e、層間絕緣膜17d以電漿乾式蚀刻處理除去。 藉此,如圖5 3所示,在層間絕緣膜17p中形成通孔外3。自 此一通孔4b3之底面,絕緣膜1 c露出。此一反射防止膜21e 之蚀刻條件,係與上述反射防止膜21a之蝕刻條件相同。 又,層間絕緣膜17p之蝕刻處理,係採用本發明之技術思 想’將絕緣膜1 c作爲蚀刻阻隔物而進行,其姓刻條件係與 上述層間絕緣膜17c之蝕刻條件相同。 ‘之’將光阻膜3 c以灰化處理如圖5 4般之除去後,如圖 5 5所示,在層間絕緣膜Πρ上及通孔仆3内塗布反射防止膜 21f。繼之,在該反射防止膜21f上,形成上述光阻膜3d 後’將該光阻膜3 d作爲蝕刻阻隔物,將自其露出之反射防 止膜21 f及層間、纟巴緣膜17 p以電漿乾式蚀刻處理除去。藉 此,如圖5 6所示,在層間絕緣膜Πρ中形成溝牝2。 上述反射防止膜2 1 f之蝕刻條件係如下所述。亦即,處理 氣體例如使用NVO2 ’其泥量比例如爲35/50 seem程度。處 理室内之壓力例如爲10 mT〇rr程度,高頻電力例如爲 500/140 W程度,下部電極溫度例如爲_2〇°c程度。作爲蚀 刻裝置,例如係採用平行平板型狹電極RIE裝置。此一蚀 刻處理後’溝4a2及通孔4b3内係殘留有反射防止膜21 f。 又,層間絕緣膜17p之蝕刻條件,係與上述層間絕緣膜 17c之蚀刻條件相同。惟於本實施形態4中,層間絕緣膜 17p之厚度方向的途中位置並未設置由氮化矽等所構成之 蚀刻阻隔物層,因此在此層間絕緣膜17ρ蝕刻處理時,其 蚀刻終點係由蚀刻時間所決定。亦即,溝4a2之深度係由 — -79- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --------------- (請先閲讀背面之注意事項再填寫本頁) 訂-------- A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(77 蝕刻時間所決定。 4广二將光阻膜3 d及反射防止膜加以灰化處理如圖5 7 =除去。〈後’將通孔4b3之底部之絕緣膜卜,如圖η 如上述實施形態]〜之所説明,予以選擇性地蚀除, 精此,,自通孔4b3之底面,第二層配線见2之一部分露 而後依同万;上述實施形態】〜3之方式,在通孔彻及 溝4a2内埋入導體膜,莛&』前"、 腰精而如圖5 9所示般之形成第三層配 線 18 L 3 0 、於本實施形態4中,♦了上述實施形態1〜3所獲得的效果 以外’返可獲侍以下之效果。亦即,#由不在層間絕緣膜 ,P之:間層中叹置由氮化矽膜所構成之絕緣膜(上述絕緣 膜1 d等)’可降低半導體積體電路裝置之配線的總介電係 ,故而可使半導體積體電路裝置之動作速度進一步提 高。 (實施形態5 ) 本實施形態5係説明本發明之其他技術思想,係藉由對 於有機絕緣膜’在含氣碳氣體及氧氣之氣體氣氛中,施以 包水蝕刻處理,而在其有機絕緣膜中形成配線形成用如溝 或孔等之凹部時,在其蝕刻處理中,改變蝕刻條件,分成 數階段處理。 發明人等係針對用以在上述有機絕緣膜中形成溝或孔等 (凹部的蝕刻處理,就處理氣體中之氧量與上述次溝及相 對氮化矽膜之蚀刻選擇比,進行研討。圖6〇係其研討結 果。下述之任何蝕刻處理及蝕刻裝置,均係採用上述實施 80- 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公髮) -----rln ^-------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7 五、發明說明(78) 形態1所説明之平行平板RIE裝置。又,不論任一場合,高 頻電力例如爲3〜0.2 kW程度。又,不管是任一場合,作爲 被處理用半導體積體電路基板,例如係使用直徑8 inch程 度之半導體晶圓。 圖6 0之左側係以上述凹部4之形狀優先,設定蝕刻條件 之場合(形狀優先條件)。作爲處理氣體,例如係使用 C4F8/02/Ar氣體,其流量比例如爲1〇〇〜5/9〇〜〇/1〇〇〇〜〇%咖 程度。又,此處,CJs流量>〇2流量。此一場合下,凹部4 之形狀良好,而蝕刻選擇比係低至2以下。 另一方面,圖60右側係以針對上述氮化矽膜之蝕刻選擇 比爲優先,設定蝕刻條件之場合(高選擇比條件)。作爲處 理氣體,例如係使用氣體,其流量比例如爲 100〜5/90〜0/1000〜〇 sccm程度。此處,CA流量%流量。 此一場合下,凹部4之底上雖有次溝55,但蝕刻選擇比可 確保至5。 如此’當只以任-者之蝕刻條件在有機絕緣膜2上形成 凹部4時,會發生問題。是以’在本發明技術思想中,在 上述有機絕緣膜上形成如上述溝成孔等之凹部時,並非以 -次之蚀刻處理形成’而是至有機絕緣膜之途中的深度爲 止,以上述形狀優先條件進行蚀刻處理, ^ 有機絕賴以上述高選擇比條㈣除。 讀㈣& 本發明之技術思想應用於上述單一 合,係以圖61説明如下。首先,如圖银嵌法之場 膜5上形成光阻膜3之後’將其作爲蚀刻屏二:第::: 81 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 ---_--------------- (請先閱讀背面之注意事項再填寫本頁) ·11111111. 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(79 ) 蝕刻處理,藉而在絕緣膜5及有機絕緣膜2上形成如溝或孔 等之凹部4。於此第一次蝕刻處理中,蝕刻條件係採上述 形狀優先條件(例如(:4:Ρ8流量>〇2流量),至由氮化矽膜等 所構成之絕緣膜1正上方爲止進行蝕刻處理。亦即,於此 處理後,在凹部4之底面上,殘留有有機絕緣膜2,絕緣膜 1未露出。在凹部4之底部殘留的有機絕緣膜2的厚度,係 蝕刻處理前原始有機絕緣膜2的厚度的3〇%以下,或2〇%以 下,宜爲15%以下。 而後,如圖61(b)所示,將光阻膜3原狀作爲蝕刻屏罩, 進行第二次之蝕刻處理,藉而將自光阻膜3露出之殘餘有 機絕緣膜2除去,而完成凹部4。於此一第二次蝕刻處理 中,蝕刻條件係設成上述高選擇比條件(例如C4F8流量g 〇2 =量)。因此,可在提高相對氮化矽膜之蝕刻選擇比的狀 態下進行蝕刻處理。又,凹部4之底部雖發生小的次溝 55,但此條件容許之範圍内,係絕緣膜丨之上部的一部份 被削除的程度。於此一處理後,自凹部4之底面,絕緣膜} 露出。 、其/欠,茲將本發明技術思想應用於上述二元金屬鑲嵌法 之昜口,以圖62說明之。又,圖62(a)之絕緣膜1A、⑶, 例如係與由氮化矽膜所構成之上述絕緣膜1對應,有機絕 緣膜2A、2B,係與上述有機絕緣膜2對應。絕緣膜…係 形成於有機絕緣膜2八、23間,形成有孔形成用開口部幻。 首先,如圖62(a)所示,在絕緣膜5上形成光阻膜3之後, 將此光阻膜3作爲蝕刻屏罩,作第一次之蝕刻處理,藉而 -82 - 297公釐) ----------------丨丨* 訂-------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7
(請先閱讀背面之注意事項再填寫本頁) _裝 訂-------- .¾ 49447^ 修.正/更正/’辞充 第89112416號專利申請案 中文說明書修正頁(90年11月) 五、發明説明(81 )
將光阻膜3a作為蝕刻屏罩,將自其露出之反射防止膜21a 以笔桌乾式姓刻處理除去’露出絕緣膜$ &。又,此處絕 緣膜2 a之厚度例如為4〇〇 nm程度。又,光阻膜3之厚度例 如為0·78 程度。 而後,如圖6 4所示,將光阻膜3 a作為蝕刻屏罩,將自 其露出之絕緣膜5 a及有機絕緣膜2 a,例如以使用 C^/CVAr氣體之電漿乾式蝕刻處理除去,而形成通孔 4b 1。此蝕刻處理中,蝕刻條件係將上述形狀優先條件, 在有機絕緣膜2a之厚度方向的途中位置(絕緣膜。之正上 方),終了姓刻。是以,此處理後之通孔4b丨的底面上,並 未形成次溝。又,自通孔4b 1之底面,有機絕緣膜2 a露 出,絕緣膜1 a並未露出。此時之詳細蝕刻條件,係如下 所示。亦即,C4F8/〇2/Ar氣體之流量比,例如係35/2〇/4〇〇 scCm程度。處理室内之壓力,例如為25 程度,高頻 電力例如為500/200 W程度,下部電極溫度,例如為_2〇它 私度。作為蝕刻裝置,例如使用平行平板型狹電極裝 置。 而後,將通孔4bl底部之有機絕緣膜2a,如圖65所示, 例如以使用C^Fs/OVAr氣體之電漿乾式蝕刻處理除去。惟 於此蝕刻處理中,蝕刻條件係採上述高選擇比條件,令絕 緣膜la作為蝕刻阻隔物發揮機能,至絕緣膜“上面露出 為止,進行蝕刻。此時之蝕刻條件係如下所述。亦即, C4F8/〇2/Ar氣體之流量比係採例如13/15/42〇 sccm程度。處 理1内之壓力,例如為30 mT〇rr程度,高頻電力例如為 2200/1400程度,下部電極溫度例如為_2〇。〇程度。作為蝕 -84 -
494479 A7 B7 五、發明說明(82) 刻裝置,例如係使用平行平板型狹電極細裝置。 其次,依同於實施形態i之方 :膜…以灰化處理除去後,將自通孔 二= 猎而路出罘一層配線18U之上面的一, ^成通孔I藉由此-蝕刻處理,將氮化矽膜選擇:地 蚀除。此時j條件係如下所述。亦即, 量比例r 20/20 一度。處理室内之壓力例二 mTon·私度,向頻電力例如爲1〇〇〇/2〇〇 w程度 度例:爲销程度。作爲_裝置,例如係使用平行平I 型狹4rie裝置。而後,依同於上述實施形態1之方式, 在通孔4bl内埋入導體膜,形成接續部18PL1。 其次,兹將本發明技術思想應用於二元金屬鑲嵌法之場 ::明如下。圖67係上述實施形心之圖”〜圖”所說明 的步驟經過後’本實施形態5之半導體積體電路裝置中之 ,部。又’此-有機絕緣心之厚度,例如爲·⑽程 度。又,光阻膜3d之厚度,例如爲〇.78 程度。自此— 狀態,依同於上述實施形態!之方式,將光阻膜3d作爲姓 刻屏罩’將自其露出之反射防止膜21d以電装乾式触刻處 理除去’露出絕緣膜5 c。 而後,如圖68所示,將光阻膜3d作爲蝕刻屏罩,將自其 露出之絕緣膜5 c及有機絕緣膜2 c例如以使用C4lv〇2/Ai^ 體之電浆乾式蚀刻處理除去,藉而形成溝4a2。二8一“: 理中,蝕刻條件係採上述形狀優先條件,在有機絕緣膜。 -85- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) 494479
厚度方向之途中位置(絕緣膜lei之正上方)終了蝕刻。是 以’此處理後〈溝4a2的底面上,不會形成次溝。又,自 溝4a2之底面,有機絕緣膜2c露出,但絕緣膜1 C不露出。 此時心蝕刻條件,例如與本實施形態5中形成圖㈧通孔讣工 時之蚀刻條件相同。 而後,知溝4a2底邵之自有機絕緣膜2 c及絕緣膜丨d露出 之層間絕緣膜17e,如圖6 9所示般之以例如使用C4F8/〇2/Ar 氣體之電漿乾式蚀刻|理除去。4匕一蚀刻處王里中,姓刻條 件係採上述高選擇比條件,將絕緣膜ld、u作爲蝕刻阻 隔物發揮機能,至絕緣膜丨c之上面露出爲止,進行蝕刻。 藉此而形成溝4a2及自其底部朝下方延伸之通孔仆3。此溝 4a2之底面上殘留有絕緣膜! d,通孔4b3之底面上殘留有絕 緣膜1 d。此時之蚀刻條件,係與例如本實施形態5之圖6 $ 中通孔形成時之I虫刻條件相同。 其次,依同於上述實施形態丨之方式,將光阻膜3d及反 射防止膜21d以灰化處理除去後,將自溝4a2及通孔41)3底 面露出之絕緣膜1 d、1 c,以例如使用CHF3/〇2之電漿乾式 蚀刻處理,如圖7 0般之除去,藉而完成溝4a2及通孔仆3。 自此處理後之溝4a2的底面,層間絕緣膜ne之上面露出, 而自通孔4b3之底面,接續部18PL2之上面的一部分露出。 此時之蝕刻條件,係與本實施形態5之使用圖6 5、6 6所説 明的絕緣膜1 a之蚀刻條件相同。繼之,依同於實施形態J 之方式,在溝4a2及通孔4b3内埋入導體膜,形成第三層配 線18L3及接續部18PL3 (參見圖14、圖36)。以降係與上述 -86 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
l·---^--------i (請先閱讀背面之注意事項再填寫本頁) _裝
經濟部智慧財產局員工消費合作社印製 494479 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(84 ) 實施形態1相同,在此省略其說明。 於此本實施形態5中,可獲得以下 人·夕又果0 (1) .在有機絕緣膜2、2a〜2c中形成如溝或孔等、 可以使凹部4底面不形成次溝之方彳 々 又凹邵時’ 八,或是即使形志A 會超過容許値範圍之方式,形成該凹部。 文化成也不 (2) ·藉由上述(1)’構成半導體積體電路 、 %纷表罝炙配線層中的 不良率,可予降低。是以,可提高半導 亍夺積體電路裝置之 信賴性及產率。 ^ * (3) ·藉由上述(1),可在有機絕緣膜2、 狀 2a〜2c中,形虏浞實 比南之如溝或孔等之凹部4。 (4) ·藉由上述(3),引吏構成半導體積冑電路裝置之 細化,同時可半導體積體電路裝置高集成化。 _ (5) ·在有機絕緣膜2、2a〜2c中形成如溝或孔等之凹部時, 第二次之蝕刻中係以高選擇比條件進行蝕刻處理,因此, 可在確保相對由氮化碎膜所構成之絕緣膜 ,i t i a〜1 k的雀虫 刻選擇比下,進行蝕刻處理。是以,在凹部4形成時,可 抑制絕緣膜1、1 a〜lk之穿通等不良現象。 (6) ·藉由上述(5),可將絕緣膜!、la〜lk薄化,因此,可降 低半導體積體電路裝置之配線的總介電係數。是以,可提 提高半導體積體電路裝置之動作速度。 (7) ·上述(1)〜(6)之效果,可在不改變迄今爲止的環境,例 如不改變蝕刻裝置或氣體種類下獲得。 (實施形態6) 本實施形態6係説明上述實施形態5之變形例,其係說明 -87- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮 (請先閱讀背面之注意事項再填寫本頁)
· n n n .l· ϋ n 1 一5J* ϋ _ϋ ϋ I I ϋ ϋ
494479 五、發明說明(85) 將上述半導體積體電路裝置之 、 吩衣星之配綠,以不同於實施 所説明之二元金屬鑲嵌法的其他二元金屬鑲嵌法形成時“ 本發明技術思想之應用例。 以下’兹將本實施形態6之半導體積體電路裝置之 万法’以圖7卜圖78説明之。又’於圖71〜78之各圖中, ⑷係圖14之半導體積體電路裝£製程巾的要部平面圖 係(a)之A-A線斷面圖。 、) 圖71所示的是,上述實施形態2之圖37、圖38所説 步驟經過後,半導體積體電路裝置之製程中的要部。又, 此處之有機絕緣膜2c之厚度,例如爲3〇〇 程度。又,光 阻膜3c之厚度,例如爲〇.78 程度。自此一狀態,依同 於上述實施形態2之方式,將光阻膜3c作爲蝕刻屏罩,將 自其露出之反射防止膜21e以電漿乾式蝕刻處理除去,露 出絕緣膜5 c。 經濟部智慧財產局員工消費合作社印製 •繼之,如圖72所示,將光阻膜3 c作爲蝕刻屏罩,將自其 路出足、纟巴緣膜5 c、有機絕緣膜2 c、絕緣膜丨d及經層間及 絕緣膜17ej例如以利用氣體之電漿乾式蝕刻處一 理除去,藉而形成通孔4b3。此一蝕刻處理中,係以上述 形狀優先條件作爲蝕刻條件,在由有機絕緣膜所構成之層 間絕緣膜17e的厚度方向之途中位置(絕緣膜丨c正上方), 終了蝕刻處理。是以,此一處理後之通孔4b3的底面上, 不會形成次溝。又,自通孔4b3之底面,層間絕緣膜17e露 出,絕緣膜1 c不會露出。此時之蝕刻條件,係與上述實施 形怨5之圖6 4中通孔4b 1形成時之蝕刻條件相同。 88- ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮 494479 A7 ____ B7 __一 五、發明說明(86 ) 而後,將通孔4b3底邵之層間絕緣膜丨7e,如圖7 3所示般 之以例如利用Cjs/C^/Ar氣體之電漿乾式蝕刻處理除去。 此一蝕刻處理中,蝕刻條件係採上述高選擇比條件,將絕 緣膜1 c作爲蚀刻阻隔物發揮機能,至絕緣膜丨c之上面露 出爲止,進行蚀刻處理。此時之蝕刻條件,例如與上述實 施形態5之圖6 5中通孔4b 1形成時之蝕刻條件相同。 繼之’依同於上述實施形態2之方式,將光阻膜3 c及反 射防止膜2 1 e以灰化處理除去後,如圖7 4所示,在層間絕 緣膜17f上及通孔4b3内塗布反射防止膜21f。而後在其反射 防止膜21f上形成上述光阻膜3d後,將此光阻膜3(1作爲蚀 刻屏罩,將自其露出之反射防止膜21f,例如以同於上述實 施形態2之條件如圖7 5般之除去。繼之,將該光阻膜以作 爲蚀刻屏罩’將自其露出之層間絕緣膜1 7f以電漿乾式蚀 刻處理除去,藉而如圖76所示般之在層間絕緣膜i7f中形 成溝4a2。此時之蚀刻條件’係採上述形狀優先條件,將該 蝕刻處理,在有機絕緣膜2c之厚度方向的途中位置(絕緣 膜id正上方)終了。是以,此一處理後之溝4a2的底面上, 不會形成副溝。又’自溝4a2之底面,有機絕緣膜。露 出’絕緣膜1 c不會露出。又,此一場合,孔463内殘留有 反射防止膜21f。此時之蝕刻條件係與例如上述實施形態5 之圖6 4的通孔4b 1形成時之蚀刻條件相同。 之後,將溝4a2底部之有機絕緣膜2 c,如圖7 7所示般之 以例如使用C^Fs/C^/Ar氣體的電漿乾式餘刻處理除去。此 時所採之蚀刻條件,係上述高選擇比條件,將絕緣膜丨d作 ___ —· - 89 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
0 ml i maMMmm mmMmm l i 一口, n n n· ϋ I 1 ·ϋ I 經濟部智慧財產局員工消費合作社印製 494479 A7 經濟部智慧財產局員工消費合作社印製 __________B7______五、發明說明(87 ) 馬蝕刻阻隔物發揮機能。至絕緣膜“之上面露出爲止,進 行蝕刻處理。藉此,自溝4a2之底面,令絕緣膜ld露出。 又,通孔4b3炙底面内殘留有反射防止膜2丨f。此時之蝕刻 條件,例如係與本貫施形態5之圖6 5中通孔4b丨形成時之蝕 刻條件相同。 繼之,依同於上述實施形態2之方式,將光阻膜3 d及反 射防止膜21f以灰化處理除去後,將自通孔4b3及溝之底 面露出的絕緣膜1C、1 d,例如以使用CHF3/〇2之電漿乾式 蝕刻處理,如圖78般之除去,藉而露出第一層配線“以上 面之一部份,而完成通孔4b3及溝4a2。此一蝕刻條件與上 述實施形態5使用圖65、圖66所説明之絕緣膜“的蝕刻條 件相同。自此以降,與上述實施形態丨〜5相同,故省略並 説明。 〃 依此一實施形態ό,也可獲得與上述實施形態5相同之效 果。特別是可將深寬比高之通孔4b3,在其斷面形狀並盔 不良之情況下,或即使產生也在容許之範圍内,於確保相 對氮化矽膜之高蚀刻選擇比的情況下形成。 (實施形態7) 本實施形態7係説明上述實施形態5之變形例,係説明將 上述半導體積體電路裝置之配線’以與上述實施形態5、6 所說明之二元金屬鑲嵌法不同的其他二元金屬鑲嵌法形成 時之本發明技術思想的應用例。 以下’ 4將本貫施形悲7之半導體積體電路裝置的製造 方法’佐以圖79〜圖84说明之。又’於圖79〜圖84之各圖 (請先閱讀背面之注意事項再填寫本頁)
^-----r ---t---------
_ -90- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494479 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(88) 中’(a)係圖1 4半導體積體電路裝置之製 |仅τ的要部平 圖’(b)係(a)之A-A線斷面圖。 圖”中所示的是’上述實施形態之圖43〜圖Ο所說明的 步驟經過後,半導體積體電路裝置之製程中的要部。、 此處足有機絕緣膜2 c之厚度及光阻膜3 … ^^子度,例如係 與上述實施形態5、6相同。由此一狀態,依同於上述實施形 態3之方式,將光阻膜3 c作爲蝕刻屏罩,將自其露出之^ 射防止膜2 1 g以電漿乾式蝕刻處理除去,而露出絕緣膜5 c反 而後,如圖80所示,將光阻膜3c作爲蝕刻屏罩,將自該 處露出之絕緣膜5 c及有機絕緣膜2 c,以例如使用 C^Fs/C^/Ar氣體,且設定成與上述實施形態6相同之形狀優 先條件的電漿乾式蝕刻處理依序除去,而形成通孔4b3。 此處理後之通孔4b3的底面上,殘留有有機絕緣膜2c,絕 緣膜1 d未露出。 而後,將通孔4b3底部之有機絕緣膜2 c,如圖8 1所示般 之以例如使用氣體,且設定成與上述實施形態6 相同之形狀優先條件的電漿乾式蝕刻處理除去。藉此,自 此處理後通孔4b3之底面,絕緣膜id露出。 繼之’將光阻膜3 c作爲屏罩,將自通孔4b3之底面露出 之絕緣膜1 d.,例如以使用CHF3/02之電漿乾式蚀刻處理, 如圖8 2般之除去,藉此,自通孔4b3之底面,令層間絕緣 膜17 e之上面露出。此一蚀刻條件,與上述實施形態5之以 圖6 5、6 6所説明的絕緣膜1 a之蝕刻條件相同。 面 C請先閱讀背面之注意事項再填寫本頁)
· n n n Β-l· ϋ i n 訂-------- -91 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 494479 A7 __—_ B7 五、發明說明(89) 而後’依同於上述實施形能3之古彳 w , 、貝犯々心j又万式,將光阻膜3 c及反 射防止膜21g以灰化處理如圖83般之除去後,將殘留之絕 緣膜22作爲蝕刻屏罩,且將絕緣膜u、id作爲蝕刻阻隔 物將自開口部23及通孔4b3底面露出之層間絕緣膜17卜 17e,以電漿乾式蝕刻處理除去。藉此,如圖^所示,形 成溝4a2及自其底面朝下方延伸之通孔仆3。自此溝4&2之底 面,絕緣膜1 d之上面露出,自通孔4b3之底面,絕緣膜丨c之 上面露出。此時之蝕刻條件,係如下所述。亦即,處理氣體 係使用例如C^/CVAr,其流量比係例如18/15/42〇⑶⑽程 度。處理室内之壓力例如係18/15/420 sccin程度。處理室内 之壓力,例如爲30 mTorr程度,高頻電力例如爲22〇〇/14〇〇 w 程度’下邵電極溫度例如爲-2〇°C程度。作爲蚀刻裝置,例 如係使用平行平板型狹電極RIE裝置。 自此以降之製程,係與上述實施形態3之圖5 〇所説明之 步驟及上述實施形態1之圖3 5、圖3 6所説明的步驟相同, 故在此省略其説明。 在此一本實施形態7中,除了上述實施形態5所獲得之效 果以外,可獲得以下之效果。亦即,在通孔4b3之加工 中,由於在層間絕緣膜處設有由氮化矽膜所構成之蝕刻阻 隔物,故而可提高通孔4b3之加工精度(深度之精度)。 (實施形態8 ) 本實施形態係説明上實施形態5變形例,其係説明將上 述半導體積體電路裝置之配線,以與上述實施形態5〜7所 -92- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) —一---------------r I ----------- (請先閱讀背面之注意事項再填寫本頁) 494479
經濟部智慧財產局員工消費合作社印製 五、發明說明(9〇) 説明之二元金屬鑲恭、、么丁 π t 屬壤瓜去不同之其他二元金屬鑲嵌法形成 時,本發明技術思想之應用例。 以下’茲將本實施形態8之半導體積體電路裝置的製造 万法,以圖85〜90說明之。又,於圖85〜9〇之各圖中,⑷ 係圖1 4半導體積體電路裝置之劍 %纷衣直心裝私中的要郅平面圖,(…係 (a)之A-A線斷面圖。 圖85中所示的是,上述實施形態4之圖51、52所説明之 步驟經過後,半導體積體電路裝置之製程中的要部。又, 此處之有機絕緣膜2 d的厚度,例如係8〇〇 nm程度。又,光 阻膜3c之厚度,例如係與上述實施形態5〜7相同。自此一 狀態,依同於上述實施形態4之方式,將光阻膜3〇作爲蝕刻 屏罩,將自該處露出之反射防止膜21e,以電漿乾式蝕刻處 理除去,而露出絕緣膜5d。 而後,如圖8 6所示,將光阻膜3 c作爲蝕刻屏罩,將自該 處露出之絕緣膜5 d及有機絕緣膜2 d,例如以使用 CA/C^/Ar氣體’且設定成與上述實施形態6、7相同之形 狀優先條件的電漿乾式蝕刻處理,依序予以處理,而形成 通孔4b3。此一處理後之通孔4b3的底面處,殘留有有機絕 緣膜2 c,絕緣膜1 c未露出。 之後’將·通孔4b3之底邵的有機絕緣膜2 d,如圖§ 7所 示,以使用例如C4F8/〇2/Ar氣體,且設定成與上述實施形 態6、7相同之高選擇比條件的電漿乾式蝕刻處理除去。藉 此,自此處理後之通孔4b3的底面,絕緣膜1 c露出。 -93- 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 丨 *---------------r ---^--------· (請先閱讀背面之注意事項再填寫本頁) _ 494479 A7 B7 五、發明說明(91) 而後,依同於上述實施形態4之方式,將光阻膜3 C及反 射防止膜21c以灰化處理除去後,如圖88所示,在層間絕 緣膜17p上及通孔4b3内塗布反射防止膜21f。繼之,在該反 射防止膜21f上形成上述光阻膜3(1後,以光阻膜3d作爲蝕 刻屏罩,將自該處露出之反射防止膜2丨f例如以同於上述 實施形態4之姓刻條件除去。 而後,將此光阻膜3d作爲蝕刻屏罩,將自該處露出之絕 緣膜5 d及有機絕緣膜2 d,例如以同於實施形態6、7之設 定成形狀優先條件的電漿乾式蝕刻處理依序除去,藉此而 如圖89所示,在層間絕緣膜17p上形成溝乜2。此一蝕刻處 理係以蝕刻時間控制溝4a2之深度。自此溝扣2之底面,有 機絕緣膜2 d露出,而絕緣膜丨c未露出。又,此一場合 下,通孔4b3内殘留有反射防止膜21f。 其^人,將光阻膜3 d及反射防止膜21 f以灰化處理如圖9 〇 般之除去。如此,在層間絕緣膜17p上,形成溝以及自其 底面向下方延伸之通孔4133。以降之製程,係與上述實施 形態4之圖58、59所説明的步驟相同,因此在此省略其説 明0 於此本實施形態8中,除了上述實施形態5、6所獲得之 效果之外,還可獲得以下之效果。亦即,藉由在層間絕緣 膜17p之中間層不设置由氮化矽膜所構成之絕緣膜(上述絕 緣膜id等),可降低半導體積體電路裝置之配線的總介電 係數,因此,可進一步提高半導體積體電路裝置之動作速 -94- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ir---------%裝 (請先閱讀背面之注意事項再填寫本頁) 訂--------- 經濟部智慧財產局員工消費合作社印製 494479 Α7 Β7 五、發明說明(92) 度。 (實施形態9 ) (請先閱讀背面之注意事項再填寫本頁) 本實施形態9係説明本發明其他之技術思想,其係將上 述絕緣膜之蝕刻形狀(上述凹部之形狀),以將處理氣體中之 氧的流量與C F系氣體的流量之比,設於適當之値而控制。 圖91(a)中所示的是,以平行平板型狹電極RIE裝置,將 例如以有機SOG膜所形成的有機絕緣膜化矽膜蝕除時,處 理氣體中之氧流量與C F系氣體(例如流量的比,與各 膜之蝕刻速度的關係。此時之蝕刻條件係如下所示。亦 即,處理氣體係使用例如c:4F8/〇2/Ar氣體,其流量比例如 爲8〜43/15/400 seem程度,處理時處理室内之壓力例如爲 4.0 Pa程度’南頻電力密度例如爲4·5 w/cm2程度。上述有 機絕緣膜之蝕刻速度’係在處理氣體中之氧與C4F8的比接 近1時具有極大値。 經濟部智慧財產局員工消費合作社印製 圖91(b)係以上述蝕刻條件,將有機絕緣膜之溝圖案(凹 部4 )加工時之蚀刻形狀觀測結果模式圖。在蝕刻速度爲極 大値之處理氣體中的氧與qF8的比以上,會產生稱爲次溝 之異常形狀,若氧之比率増加,則次溝變深。其狀況係如 圖91(c)所示,以次溝之深度相對蝕刻深度的比表示。由此 圖9 1(c)可知,藉由變化處理氣體中氧與C4f8的比,可控制 有機絕緣膜之蚀刻形狀。 第一,在處理氣體中之氧的比率在0.3以上、0·5以下之 範圍内時,可將有機絕緣膜之凹部4的斷面形狀形成爲矩 -95- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494479 五、發明說明(% ) 形。將其以氧相對碳之比 > f廟nb , 係在0·15以上、0.25以下 心範圍=。$二,在處理氣體中之氧的比率在㈣上… 以下之範圍内,如圖91(b)所示, 、认^ ^ J 口凹44炙側面形成斜角 ^故,根據㈣之圖案的寬及深,_形狀會成爲模形。 由於次溝(異常形狀)不發生之故,若考慮㈣之圖 木的寬及深’可使用於有機絕緣膜之蝕 氧相對碳之比表示,係在0以上、Π9ς、, 靶固右以 一 ^ ^ 係在0以上、0·25以下之範圍内。第 三,在處理氣體中之氧的比率在〇.3以上、〇·7以下之範圍 内,如圖91(b)所示,雖不會形成斜角,但會發生相對餘刻 深度,次溝深度在〇·3以下之次溝。然而,由於次溝之深度 並非如此之深,因此例如可作爲配線形成用溝使用。將其 以氧相對碳之比表示,爲〇15以上、〇 35以下之範圍。 、乂利用至屬鑲肷法之配線構造等,使用銅於蝕刻阻隔膜 或配、泉材料之場合,作爲抑制銅擴散使用之氮化矽膜與有 機絕緣膜的蝕刻選擇比也是,在處理氣體中之氧流量與 CF系氣體(例如cjs)流量的比在〇以上、〇 7以下之範圍内 時,由圖91(a)可知,爲3之程度,因此可在氮化矽膜上停 止姓刻。 經濟部智慧財產局員工消費合作社印製 此一效果,就上述通孔或接觸孔等之孔圖案,也可獲得 相同之效果。又,上述蝕刻條件,係高頻電力密度爲4.5 W/Cm2之結果’其値例如在4 W/cm2以上、6 W/cm2以下之 範圍内’可獲得相同之效果。又,上述蝕刻條件係爲一 例’即使改變(:4匕流量,氧流量之絕對値,高頻電力密度 也是在上述範圍内,若氧流量相對C F流量之比在0以上、 -96- 卜紙張尺度適肖中國國家標準(CNS)A4規格(210 X 297公爱 494479 A7 五、發明說明(94 ) 經濟部智慧財產局員工消費合作社印製 〇·7以下,以氧相對碳之比表示 話,可獲得上述效果。 (實施形態1 0) 本實施形態1 0係説明上述實施 I貝他形怨9之變形例,說明上 述高頻電力密度之範圍,較上述實 、只她形態8所不範圍爲小 之场合0 圖92(a)所示的,平行平板型独 微土狹電極RIE裝置之高頻電力 密度的範圍,較上述實施形態9所 W不範圍爲小時,相對處 理氣體中之氧流量與C F手負辦r也丨』 乐虱(例如(:4匕)流量的比,上述 有機絕緣膜及氮切膜的㈣迷度之關係。此時之蚀刻條 ,,係如下所示。亦即,處理氣體例如係使用C4F8/〇2/Ar 氣體,其泥量比例如爲25/0〜50/4ΟΠ ^ ^ ^ 3υ/4ϋ0 seem程度,處理時之處 理室内的壓力例如爲4·〇 Pa程度,高頻電力密度例如爲4·5 WW程度。此-場合也是,上述有機絕緣膜之飯刻速度, 在處理氣體中之氧與CJ8的比爲i附近時,具有極大値。 、圖92(b)係以上述姓刻條件,*有機絕緣膜之溝圖案(凹 邵4)加工時之蝕刻形狀觀測結果模式圖。在蝕刻速度爲極 大値 < 處理氣體中的氧與c/8的比以上,會產生稱爲次溝 之- ¥开y狀,若氧之比率增加,則次溝變深。其狀沉係如 圖92(c)所示,以次溝之深度相對蝕刻深度的比表示。由此 圖92(C)可知,藉由變化處理氣體中氧與C4F8的比,可控制 有機絕緣膜之蝕刻形狀。 第一’在處理氣體中之氧的比率在〇.3以上、〇.5以下之 範圍内時’可將有機絕緣膜之凹部4的斷面形狀形成爲矩 在0以上、0 · 3 5以下的 -97-
(請先閱讀背面之注意事項再填寫本頁)
裝i ---r--丨訂--------·
494479 經濟部智慧財產局員工消費合作社印製 A7 ---—_^__ 五、發明說明(95 ) ^ ' 形。將其以氧相對碳之比表示,係在〇15以上、〇 Μ〆 之範圍内。第二,在處理氣體中之氧的比率在〇以上以下 _、々λ· 厂-、0 5 以下之範圍内,如圖92(b)所示,因凹部4之側面形成斜角 之故,根據蝕刻之圖案的寬及深,蝕刻形狀會成爲楔形。 然而,由於次溝(異常形狀)不發生之故,若考慮蝕刻之 案的寬及深,可使用於有機絕緣膜之蝕刻。此一範圍若回 氧相對碳之比表示,係在〇以上、〇·25以下之範圍内 三’在處理氣體中之氧的比率在0.3以上、〇·9以下之範 内’如圖91(b)所示,雖不會形成斜角,但會發生相對餘刻 深度,次溝深度在0.3以下之次溝。然而,由於次溝之深度 並非如此之深,因此例如可作爲配線形成用溝使用。將^ 以氧相對碳之比表示,爲0.15以上、〇45以下之範圍。第 四’處理氣體中之氧的比率在〇以上、〇·9以下時,會有斜 角發生,而會發生相對蝕刻深度之次溝深度在〇·3以下之次 溝。然而,由於此次溝深度並非如此之深,因此例如可作 爲配線形成用溝使用。將其以氧相對碳之比表示,爲〇 ^ 以上、0.45以下之範圍。 於本實施形態1 0也是,以利用金屬鑲嵌法之配線構造 等’使用銅於蝕刻阻隔膜或配線材料之場合,作爲抑制銅 擴散使用之氮化矽膜與有機絕緣膜的蝕刻選擇比,在處理 氣體中之氧流量與C F系氣體(例如c4F8)流量的比在〇以 上、0.9以下(以氧相對碳之比表示爲〇以上、O K以下)之 範圍内時,由圖92(a)可知.,爲3之程度,因此可在氮化碎 膜上停止蚀刻。 -98- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) C請先閱讀背面之注咅?事項再填寫本頁)
494479
五、發明說明(96 ) 此一效果,就上述通孔或接觸孔等之孔圖案,也可獲得 相同之效果。又,上述蝕刻條件,係高頻電力密度二.6 W/cm2之結果,其値例如在3 w/cm2以上、3 w/cm2以下之 範圍内,可獲得相同之效果。又,上述則條件係爲— 例,即使改變QFs流量,氧流量之絕對値,高頻電力密度 也是在上述範園内,若氧流量相對CF流量之比在〇以上^ 0.9以下,亦以以氧相對碳之比表示’在〇以上、〇45以下 的話,可獲得上述效果。 (實施形態1 1) 本貫施形怨1 1,係將上述實施形態9、丨〇所說明之發 明,具體應用於半導體積體電路裝置之配線的形成方法之 %合作用説明。又,本實施形態i丨,與上述實施形態1 (單 一式金屬鑲嵌法),除了蝕刻條件以外的均屬相同,因 此,在本實施形態1 1之説明中,只就與上述實施形態i不 同之處摘出説明。 首先,圖1 7之通孔4bl及圖2 3之配線形成用溝4al形成用 之蚀刻條件,係如下所示。具體言之,蝕刻裝置係與上實 施形態1相同,例如使用平行平板型狹電極RIE裝置,處理 時之處理室内壓力例如爲4·〇 Pa程度,處理氣體例如係使 用CJs/CVAr ’其流量比例如爲25/10/400 sccm程度,高頻 笔力S、度例如爲0 · 6 W / c m2之程度。 惟上述相對上述有機絕緣膜之蝕刻處理時的條件,並不 受上述限制,在上述實施形態9、丨〇所述之範圍内,可作 各種變更。 -99- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁)
0 ί I I ϋ I a i_l _iai n n n ^1. I 經濟部智慧財產局員工消費合作社印製 A7 B7 經濟部智慧財產局員工消費合作社印製 c 、發明說明(97 ) 果依此-實施形態u,可獲得與上述實施形態5相同之效 (實施形態1 2 ) 本:施形態"’係將上述實施形態9、1〇所説 ::應用於半導體積體電路裝置之配線形成方法(二元金 屬鑲嵌法)之場合的説明。又,本實 本貫犯形怨1 2之説明中所 、圖9 3〜圖96(a),係圖1 4半導體積體電路裝置之製程的 要邵平面圖,(b)係(a)之A]線斷面圖。 圖”中所示的是,上述實施形⑸之圖2?〜圖32所説明 白^步驟經過後,本實施形態12之半導體積體電路裝置的製 私中之要邵。自此一狀態,依同於上述實施形態1之方 式,將光阻膜3d作爲蝕刻屏罩,將自其露出之反射防止 21d蝕除露出絕緣膜5()後,如圖94所示,將光阻膜作爲 =刻屏罩,將自其露出之絕緣膜5 c及有機絕緣膜2 ◦以電 漿乾式蝕刻處理依序除去直到絕緣膜1(1之上面露出爲止, 而开7成溝4a2。此時之姓刻條件如下所示。亦即,蚀刻裝置 係與上述貫施形態1相同,例如使用平行平板型狹電極rie 裝置’處理時之處理室内壓力例如爲4 · 0 P a程度,處理氣 體例如係使用C4F8/02/Ar,其流量比例如爲43/15/400 seem 程度’高頻電力密度例如爲4·〇 w/cm2程度。藉此,此處理 後之溝4a2的底面,未形成次溝。又,即使有形成也不大 而在容許的範圍内。又,可將絕緣膜丨d作爲蝕刻阻隔物發 揮機能。 繼之’將光阻膜3 d作爲蝕刻屏罩,且將絕緣膜1 d、1 -100- 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) -- I ---^-----^---I---I ' (請先閱讀背面之注意事項再填寫本頁)
4V4479 A7
五、發明說明(% ) 4爲蚀刻阻隔物’將自絕緣膜1 d露出之有機絕緣膜2 C及 自通孔4b3露出之層間絕緣膜丨以,如圖”般之以電漿乾式 蝕刻處理依序蝕除’而形成自溝心2之底部往下方延伸之 通孔4b3 \此時之蝕刻條件係如下所示。亦即,蝕刻裝置 係與上述只施形態i相同例如採用平行平板型狹電極rie裝 置’處理時之處理室内的壓力例如爲4 Q pa程度,處理氣 月足例如使用CJs/C^/Ar,其流量比例如爲25/1〇〇/4〇〇 sccm程 度’咼頻電力密度例如爲〇·6 w/cm2程度。藉此,此處理後 之溝4a2及通孔4b3之底面,並未形成次溝。又,即使有形 成也屬不大,爲容許之範圍内。又,可將絕緣膜lc、ld 作爲蚀刻阻隔物發揮機能。惟當蝕刻選擇比設成3之程度 的條件下’必須預先將由上述有機絕緣膜所構成之層間絕 緣膜17e的厚度,設成作爲蝕刻阻隔物發揮機能之絕緣膜 1 d的厚度之3倍以下。這是因爲,若未滿足此一條件,在 層間絕緣膜17e中形通孔4b3時,絕緣膜1 d會消失。又,此 處理後之溝4a2及通孔4b3的底面處,殘留有絕緣膜i d、 (請先閱讀背面之注音?事項再填寫本頁)
n H ϋ «l· I n I^OJ I n -ϋ 1 n ϋ ϋ ^
經濟部智慧財產局員工消費合作社印製 而後’依同於上述實施形態1之方式,將光阻膜3 d及反 射防止膜21d以灰化處理除去後,將由溝4a2及通孔4b3之 底面露出的絕緣膜1 d、1 c,以蚀刻處理而如圖9 6般之除 去’藉而芫成溝4a2及溝孔4b3。自此處理後之溝4a2的底 面,層間絕緣膜17e的上面露出,而自通孔4b3之底面,接 續部18PL2的上面之一部份露出。此時之蚀刻條件,與上 述實施形態1相同即可。 一 -101 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494479 A7 B7 五 發明說明(99 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 以降之步驟係與上述實施形態1相同,在此省略其説 明。又,對於上述有機絕緣膜蚀刻處理時之條件,並不受 上述限制,可在上述實施形態9、1 〇所述之範圍内作各種 變更。 以此一實施形態1 2,也可獲得與上述實施形態5相同之 效果。 (實施形態13) 本實施形態1 3,係將上述實施形態9、! 〇所説明之發 明,應用於半導體積體電路裝置之配線形成方法之場合作 説明,其係説明使用與上述實施形態丨2不同之二元金屬鑲 嵌法的配線形成方法。又,本實施形態丨3與上述實施形態2 (二元金屬鑲嵌法)除蝕刻條件以外均屬相同,因此,在本 實施形態13之説明中,僅將與上述實施形態2不同 摘要説明。 、於本實施形態13中’圖39之通孔4b3係以下述方式形 成。首先’將光阻膜3c作爲蝕刻屏罩,將自其露出之絕緣 膜5〇、有機絕緣膜2〇以電漿乾式蝕刻處理依序除去。此 時之蝕刻條件係如下所述。具體而言,蝕刻裝置與上述實 施形態1相同,例如採用平行平板型狹電極咖裝 時之處理内的壓力例如爲4.〇Pa程度,處理氣體例如採用 C4F8/02/Ar ’其流量比例如爲2 / J馬25/10/400 seem程度,高频雷 力密度例如爲0·6 W/Cm2程度。 八 而後’將光阻膜3 c作爲蝕刻屏罩,將# 成之絕緣膜ld以電装乾…=將由鼠化梦膜等所構 私水乾式蝕刻處理除去。此時之蝕刻係如 本紙張尺度適用中國國家標準(CNS)A4^i^]^ -102 297公訂 (請先閱讀背面之注咅?事項再填寫本頁)
發明說明(100) 下所丁、亦即,蝕刻裝置係使用通常之RIE裝置,處理時 、處里i内的壓力例如爲6·5 pa程度,處理氣體例如係使 用CHF3/〇2/Ar,其流量比例如爲2〇/2〇/4〇〇 sc·程度,高頻 電力密度例如爲〇·6 w/cm2程度。 而後,將光阻腠3 c作爲蝕刻屏罩,且將絕緣膜丨c作爲蝕 刻阻隔物,將由有機絕緣膜等所構成之層間絕緣膜m,以 %水乾式蝕刻處理除去。藉此,形成自底面使絕緣膜1 c露 出之通孔4b3。此時之蝕刻條件,係如下所示。亦即,蝕 刻裝置係與上述實施形態丨相同,例如使用平行平板型狹 電極RIE裝置,處理時之處理室内的壓力例如爲4 〇 程 度,處理氣體例如採用qFs/h/Ar,其流量比例如爲 43/18/400 sccm程度,高頻電力密度例如爲4·5 w/cm2程度。 又,於實施形態13中,用以形成圖42之溝4a2的蝕刻條 件係如下所示。亦即,姓刻裝置與上述實施形態丨相同, 例如使用平行平板型狹電極RIE裝置,處理時之處理室内 壓力例如爲4.0 Pa程度,處理氣體例如採用,其 流量比例如爲45/15/400 SCCm程度,高頻電力密度例如爲 4.0 W/cm2程度。 上述對於有機絕緣膜之蝕刻條件,不受上述者之限制, 可在上述實施形態9、1〇所述之範圍内作各種變更。 根據此實施形態13,可獲得與上實施形態5、6相同之效 果。 (實施形態1 4 ) 本實施形態1 4係説明上述實施形態9、1 〇所説明之發 (請先閱讀背面之注意事項再填寫本頁)
-----:— ---^-------- 經濟部智慧財產局員工消費合作社印製 -103 494479 A7 B7 五、發明說明(101 ) 明 形 ’應用於半導體積體電路装 ,説明與上述實施形態!々配線形成方法中之情 經濟部智慧財產局員工消費合作社印製 法。又,本實施形態14之說明中所 飲 係圖14半導體積體電路裝置之製 :7:。1⑷, U)之A-A線斷面圖。 罟4千面圖,(b)係 圖97中所示的是’上述實施形態3之圖 :步::=:Γ體積體電路裝置之製程;的二 :形: = : =形成時一件,係與上述實 自此-狀態’依同於上述實施形態3之方式,將光阻膜 3 C作局蝕刻屏罩’將自其露出之反射防止膜&以電漿乾 式蝕刻處理除去,而露出絕緣膜5〇後,將光阻膜“作^ 蝕刻屏罩,且將絕緣膜1(1作爲蝕刻阻隔物,將絕緣膜$ 及有機絕緣膜2 c以電漿乾式蝕刻處理依序除去,藉而如 98所示般之形成通孔4b3。此時之蝕刻條件係如下所示 亦即,蝕刻裝置係與上述實施形態丨相同例如係使用平 平板型狹電極RIE裝置,處理時之處理室内的壓力例如 4.0?&程度,處理氣體例如採用^178/〇2/^,其流量比例训 爲43/15/400 seem程度,高頻電力密度例如爲4 〇 w/cm2程 度。自此處理後之通孔4b3的底面,絕緣膜1 d露出。 而後,以光阻膜3 c作爲屏罩,將自通孔453之底部露 的絕緣膜1 d以電襞乾式蚀刻處理,如圖9 9所示般之 去,藉而自通孔4b3之底面,露出層間絕緣膜17€之上面。 此一蝕刻條件係如下所述。亦即,蝕刻裝置係使用通常之 爲 c 圖 行 爲 如 (請先閱讀背面之注意事項再填寫本頁)
出 除 -104- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 49447¾ 第89112416號專利申請案 中文說明書修正頁(90年11月)
102 RIE裝置,處理時之處理室内壓力例如為6 5pa程度,處理 氣體例^採用CIVCVAr,其流量比例如為2〇/2〇/4⑽sccm 程度’高頻電力密度例如為0.6 W/cm2程度。 而後,依同於上述實施形態3之方式,將光阻膜3c及反 射防止膜21g以灰化處理如圖丨〇〇所示般之除去後,將殘 留之絕緣膜22作為蝕刻屏罩,且將絕緣膜u、ld作為蝕 刻阻隔物,將自開口部23及通孔4b3之底面露出的層間絕 緣膜17f、17e以電漿乾式蝕刻處理除去。此時之蝕刻條件 例如係與上述圖98之通孔41)3形成時的蝕刻條件相同。藉 此,如圖101所示,形成溝4a2及通孔仆3。自此溝4a2之底 面,絕緣膜id之上面露出,自通孔4b3之底面,絕緣膜卜 之上面露出。 惟相對上述成為蝕刻屏罩之絕緣膜2 2的由有機絕緣膜 所構成之上述絕緣膜2〇的蝕刻選擇比為3之程度時,必須 相對上述絕緣膜22之膜厚,預先將上述絕緣膜2c之膜厚 設成3倍以下。又,在形成上述溝4a2時,上述絕緣膜 也被蝕刻,而形成通孔,因此,上述絕緣膜17e之膜厚也 顶事先设成上述絕緣膜2 2之膜厚的3倍以下。這是因為, 若未滿足此一條件,上述溝4a2、上述通孔4b3加工中,上 述絕緣膜2 2會消失。 又,上述絕緣膜2c、17e之膜厚,也受上述絕緣膜ld、 lc之膜厚的限制。若絕緣膜2〇之膜厚較絕緣膜i7e之膜厚 為厚的場合’其差必須為絕緣膜丨c之膜厚的3倍以下。反 <,當絕緣膜17e之膜厚較絕緣膜2c之膜厚為厚時,其差 -105-
經濟部智慧財產局員工消費合作社印製 A7 ----___ 五、發明說明(52 ) 作爲姓刻裝置,例如係使用平行平板型狹·電極rie裝 置。蝕刻條件爲如下所示。處理時之處理室内壓力例如 300 mT之程度,高頻電力例如爲22〇〇/i4〇〇 w程度。 C4F8/〇2/Ar氣體怎流量比,例如爲13/15/42〇 %⑽之程度。 處理時之下部電極的溫度,例如爲_2〇。(:程度。 在此種含氧氣之電漿乾式蝕刻處理中,在中途終止性蝕 刻中,除直徑0.25 "m程度及以下之小孔53b之外,觀測到 次溝(異常形狀)55。小孔531)中,次溝54間係相連,因 此,在表觀上觀測不到次溝55。在此一程序·中,若相對氮 化矽膜之選擇比巧至5·〇以下,若進行過蝕-時,次溝5 5 會牙通由氮化矽膜等所構成之絕緣膜5 〇。亦即·,無法保證 作爲蝕刻阻隔物之機能。 /次,圖9中所示的是,在上述含氮氣之氣體氣氛中的 電漿乾式蝕刻處理時,有機絕緣膜之蝕刻速度與相對氮化 石夕膜之蚀刻選擇比的氮氣氣體流量依存性。 氮氣氣體流量爲0時,由有機絕緣膜之蝕刻不會進行此 點可知’氮對於有機絕緣膜之蝕刻並非必要。在有機絕緣 膜上形成直徑例如爲〇·25 " m程度之孔時的蝕刻速度與相 對氮化秒膜之蚀刻選擇比,相對氮氣流量,在2〇〇 sccm (碳(C)/氮(N)比爲0.12)附近,具有極大値\由圖9可知,獲 得貝用性之蚀刻選擇比及蝕刻速度,其氮氣流量係丨5 〇 seem (C/N比爲〇· 16)〜300 seem (C/N比爲0.08)之場合,但氮氣流 i 爲 50 seem (C/N比爲 0.48)〜500 seem (C/N比·爲 0.048)也可。 以上之依氣體種類而變的有機絕緣膜之餘刻特性,係示 ___ -55- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 裝-----:----訂----- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 494479 A7 _ B7 五、發明說明(53 ) 於圖1 0中。只利用C F系氣體之蝕刻,蝕刻速-度慢,且蝕 刻選擇比低,同時除去性(蝕除狀態之良窳)不佳。而在 C F系氣體中添加氧之蚀刻下,蝕刻速度及蝕刻選擇比係 與異常形狀(特別是次溝)成妥協之關係。除去性良好。相 對於此,若爲本發明之在C F系氣體中添加氮之蝕刻,蝕 刻速度也可獲得400 nm/min程度,可在無次溝下獲得相對 氮化矽膜爲7.4以上之蝕刻選擇比。總而言之,在CF系氣 體中添加氮之蝕刻,較之只爲C F系氣體之蝕刻或c F系氣 骨豊中添加氧之蚀刻,蚀刻特性較佳。 : 其/人’上述廷衆乾式蚀刻處理中所用之平行平板型Rig 裝置6,係示於圖‘1 1中。此一 RIi裝置6,例如·具有雙頻激 勵電容結合型電漿源’具有:|虫刻室6 a、設於其内部之下 邵電極6 b、與其作電氣接續之第一高頻電源6 c、在蝕刻 室6 a内以對向下部電極6 b方式設置之上部電極6 d、與其 作電氣接續之第二高頻電極6e、用以將氣體導入蝕刻室 6a内之氣體導入系6f、及用以將蝕刻室6a内之壓力保持 一定的排氣系。半導體晶圓(半導體積體電路基板)7係配 置於下部電極6 b上。又,上述氣氛用氣體,係通過上述氣 體導入系6 f供給至上部電極6 d之下面側,再介以噴麗板 6g均等地供給於蝕刻室6a内。此等相對之下部電極6b與 上述電極6d之間,係形成電漿。自由基、原子、離子等般 之活性種,係相對下部電極6b及半導體晶圓7之主面,沿 垂直之電場入射,沿其方向,反應性蝕刻進行。惟,作爲 姓刻裝置,並不限於上述平行平板型狹電極RIE裝置,也 __ -56- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 裝----l· — (請先閱讀背面之注意事項再填寫本頁) 訂----- 494479 A7
經濟部智慧財產局員工· 五、發明說明(⑷ 可作各種變更,例如可使用ICP (電感耦合電漿)型或ECR (電子迴旋加速型共振)型蝕刻裝置。 圖1 2係ICP型蚀刻裝置8。蚀刻裝置8具有:蚀刻室8 a、 設於其内部之下部電極8b、與其作電氣接續之第一高頻電 源8 c、故於姓刻室8 a上邵外周之icp線圈8 d、與其電氣接 續之第二高頻電極8 e、用以在蝕刻室8 a内導入氣體之氣 體導入系8 f、以及將蝕刻室8 a内之壓力保持一定之排氣 系。半導體晶圓(半導體基體電路基板)7係配置於下部電 極8b上。蝕刻氣體係自蝕刻室8&之上部供給至蝕刻室 内。此一狀怨下,藉由自第二高頻電源8 e施加高頻電力於 ICP線圖8 d,在蝕刻室8 a内形成電漿。又,第一高頻電源 8 c係將供控制離子能量之偏壓高頻電力,供給至下部電極 8 b之電源。 又,圖1 3係例如平板天線型UHF-ECR型之蝕刻裝置9。 此蝕刻裝置9具有:蝕刻室9 a、設於其内部之下部電極 9b、與其作電氣接續之第一高頻電源9c、設於蝕刻室 上邵之平板狀天線9 d、與其作電氣接續之第二高頻電源 9e及UHF電源9f、電磁鐵9g、將氣體導入蚀刻室9a内之 氣體導入系、及將蝕刻室9 a内之壓力保持一定之排氣系。 利用由天線9 d放射之例如450 MHz之UHF波與電磁鐵9 g所 形成之磁場而產生ECR,將蝕刻氣體電漿化。天線9 d之表 面’爲了氣體導入及活性種控制,例如設有由矽等所構成 之噴灑板9h。藉由在天線9d上另行施加例如13.56 MHz之 南頻電力,可控制入射至噴灑板9 b之離子能量。藉由下部
(請先閱讀背面之注意事項再填寫本頁) ------r----訂---------.線一 494479 經濟部智慧財產局員工消費合作社印製 A7 _______B7__ 五、發明說明(55 ) 電極9 b上也施加例如800 kHz之高頻偏壓,可控制對於半 導體晶圓7之入射能量。又,天線9 d與下部電極9 b之間的 距離係可變,而可控制電漿中氣體之解離。又,上述頻率 係一例。又,頻率之組合也可作各種變更。 以上之説明係將處理氣體之種類限定下的敘述,然在使 用本發明技術思想之實施形態中,不限上述者,也可作各 種變更。 例如,於上述説明中,作爲用於有機絕緣膜之蝕刻處理 的C F系氣體,係使用易於獲得對SiN選擇比之,但不 文此限制,可作各種變更,例如,可使用、CH2f2 或CSF8等等。又,除此之外,作爲CF系氣體,包括等 般 < 飽和環狀氟碳化物、C5F9等般之非飽和環狀碳化物、 CF4、CHF3或CHJ2等般之非環狀氟碳化物、或作爲氟利昂 氣體減少使用對策所開發之CF3l等般之碘化氟碳化物等。 又,代替C F系之氣體,例如可使用sf6。 又’代替上述CF系/NVAr氣體之N,在CF系/Ν2/Αγ氣體 中’可添加較C F系氣體流量較少之氧,即添加不致發生 上述次溝或即使發生也在容許値範圍内的程度之氧。在蝕 刻處理時,蝕刻與堆積兩個要素進行,但只有氮之場合, 有其堆積性較高之場合。是以,藉由少量添加氧,可抑制 其堆積性而提高除去性(蝕除狀態之良窳)。 又’代替上述CF系/Nz/Ar氣體之ν2,例如也可使用NO、 N〇2或NH3。此一場合下,並非只是單單導χΝ〇或N〇2即 可,考慮上述次溝問題之氧量的控制,也屬必要。 ______ -58- 本紙張尺度適用中國國家標準(CNS)A4規格⑽χ挪公髮) ------------裝-----:----訂---------^線* (請先閱讀背面之注意事項再填寫本頁)
494479 五、發明說明(56 ) 又,上々CP系/NyAi·氣體中之Αι·氣不添加也可。例如, 在使用ICP型蝕刻裝置之場合,由於電漿之安定性高,故 而也有不添加Ar也可之場合。又,代替Ar也可添加氮 (He)。 " 其次,茲將利用本發明技術思想所製造之半導體積體電 路裝置π於圖1 4中。圖丨4係將本發明技術思想例如應用 於CMIS (互補MIS)電路之場合的半導體基板(半導體積體 電路基板)7 s之要部斷面圖。此一半導體基板7 s係將上述 半導體晶圓切斷所得之平面四角形半導體晶片的基板,例 如係由p型矽單結晶所構成。自半導體基板乃之主面至一 足之深度,形成有n型阱1〇N及p型阱1〇p。η型阱i〇n中, 例如含有磷或砷。又,p型阱1〇p中,例如含有硼。另,p 型阱10P 7 a之主面側,例如形成有溝型之分離部1丨。此一 刀離邛1 1,係在自半導體基板7 s之主面朝半導體基板7 $ 之厚度方向所掘的溝内,例如埋入由氧化矽膜所構成之分 離用絕緣膜所形成。 包圍此分離部i丨之活性區域中,形成有pMisQp及 nMISQn。pMISQp及nMISQn之閘極絕緣膜丨2,例如係由氧 化矽膜所構成。藉由相對此閘極絕緣膜12施以氮化處理, 在閘極絕緣膜12與半導體基板乃之界面偏析出氮也可。 藉此,可抑制pMISQp及nMISQii中之熱載子效果,因此, 可在確保微細化下,提高元件之特性。又,pMU如及 nMISQn之閘極1 3,例如係在低電阻多晶矽上,例如役置 矽化鈷或矽化鎢等之矽化物膜而成之所謂多晶矽化物構 -59- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -----r----訂----- .線ί 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(57 造。閉,電極i 3例如可由低電阻多晶碎之單體膜所 例如可在低電阻多晶矽膜上,介 隆辟® U变 J 乂虱化鈦或虱化鎢等般之 杠二般之金屬膜而成之多晶金屬構造。閘 ”長例如馬0.14 _之程度。程度,在閑極電極i 3之側 面’形成有由氧切膜或氮切膜所構成之側壁^。又, pMISQp之構成源極、閘極區域之半導體區域…中,例如 ^有硼。^半導體區域⑸之上面,形成有例如珍化鉛 二碎化鎢寺^化物層15b。又nMISQn之構成源極、没極 區域 < 半導體區域16a中,例如含有磷或砷。此一半導體 區域16a之上面,形成有例如爲矽化鈷或矽化鎢等之矽^ 物請。又’間極電極13切化物層及半導體區域⑴、 16&上之金屬珍化物層W、i6b’係由同步驟時形成。 此一半導體基板7s之主面上(包含分離部丨丨之上面上), 食積有層間絕緣膜17a。藉此,pMISQp及瘦經被覆。 士 :層間絕緣膜17a之上面,係由CMp (化學機械研磨)法 寺平坦化。層間絕緣膜17a之上面形成有第一層配線肌i 例如係由鎢所構成,經由層間絕緣膜ΐ7&中穿孔之平面略 圓形接觸孔(凹部)19内的導體膜20,與上述pMISQp或 MISQn之半導植區域15a、16a作電氣接續。導體膜2 〇具 有:由被覆固定於接觸孔19之側面及底面的鈇、氮化欽或 渠等之積層膜等所構成的第一導體膜、以及被覆固定有該 第一導體膜之接觸孔19内部埋入之鎢等所構成的第二導體 膜。 此一層間絕緣膜1以上,堆積有層間絕緣膜i7b。此一層 本紙張尺度適用中國國家標準(CNS)A4規格(210 -60- x 297
I ---„--I--· I I I--I I 1· (請先閱讀背面之注意事項再填寫本頁) 494479
經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(58) 間絕緣膜17b及第一層配線i8Ll上,堆積有絕緣膜1 a。絕 緣膜1 a之上層自層間絕緣膜17 c以至17k、17m、17η係以自 層間絕緣膜17c以至17k、17m、17η各自間分別介有絕緣膜 lb〜lk之方式堆積。 自層間絕緣膜17a以至17k、17m、17n係構成配線構造, 由上述有機絕緣膜之單體膜或有機絕緣膜與其他之絕緣膜 的積層膜所構成。自層間絕緣膜17a以至17k、17m、17n中 之有機絕緣膜的介電係數,例如係2.7〜2.8之程度。是以, 可降低半導體積體電路裝置之配線的整體性介電係數,因 此爲可提高半導體積體電路裝置之動作速度的構造。此一 層間絕緣膜17a以至17k、17m、17η中所用之有機絕緣膜(與 上述有機絕緣膜2對應)的基本化學構造之一例,係如下所 示〇 ◎[化 1 ] R :烷基、烯基、苯基、氟烷基等 CH3 —, CH3CH2—, CH3CH2CH2— CH2=、CH— 一 Or、©~、 CF3CH2CH2—等- 又,此一層間絕緣膜17a以至17k、17m、Πη之具體構成 例’在半導體積體電路裝置之製程説明時,將予詳細説 明。又,層間絕緣膜係介於配線間或配線與半導體基板間之 絕緣膜,包括有機系層間絕緣膜、塗布型層間絕緣膜、有 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) -----r---^--------- 494479 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(59 ) 機系塗布型層間絕緣膜、有機矽氧烷系層間絕緣膜等等。 而又、、、巴緣膜1 a以至1 k,係由例如氮化矽膜所構成,其介 :1 ”數例如爲7之私度。此一絕緣膜1 &至1 ^中,絕緣膜 la、lb、ld、lf、lh、y主要具有蝕刻阻隔物之機能,而絕 緣膜lc、le、lg、Η、以主要具有銅之擴散抑制機能。 絕緣膜la及層間絕緣膜17c中,形成有通孔(凹部)4Μ。 通孔4bl例如係形成平面略圓形,第一層配線i8u之一部 份由此露出。通孔4bl之内部,形成有接續部(埋入式配線) 18 PL1。接續部i8PL1係由被覆固定於通孔4bl内側面及底 面之氮化鈦等所構成導體膜、以及在被覆固定有該導體膜 之通孔4bl内埋入的銅等所構成之相對上較厚的導體膜所 構成,與第一層配線18]11作電氣接續。 '、.邑、’象膜1 b及層間絕緣膜17 d上’形成有溝(凹部)4 a 1及溝 孔(凹邵)4b2。溝4al例如係形成爲平面長方形狀,其内部 幵成有第一層配線(埋入式配線)1 8L2。第二層配線1 8L2係 由被覆固定於溝4al内側面及底面之氮化鈦等所構成的導 把膜、以及在被覆固定有該導體膜之溝1内部埋入銅等 所構成之相對上較厚的導體膜所構成,與接續部1 8pL 1作 電氣接續。又,通孔4b2例如形成爲平面略圓形,其内部 开> 成有接續邵(埋入式配線)1 8PL2。接續部1 8PL2係與上述 接續邵1 8PL1有相同之構造及材料構成,與接續部1 gpL 1電 氣接績。 絕緣膜lc、Id及層間絕緣膜17e、17f上,形成有溝(凹部) 4a2、4a3及通孔4b3、4b4。溝4a2、4a3例如係形成平面長方 -62- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------裝-----r---訂-------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(60 ) 形狀,其内邵形成有第三層配線(埋入式配線)丨8L3。此處 所例示的是’溝4a2内之第三層配線18L3經由通孔4b3内之 接績邵(埋入式配線)18PL3而與第二層配線以㈡電氣接續 之狀怨。此一通孔4b3例如係形成平面略圓形,自溝4a2之 底面延伸至達於第二層配線丨8L2上面的程度。是以,溝 4a2内之弟二層配線1 8L3與通孔4b3之接續部1 8PL3係一體 形成,有被覆固定於溝4a2及通孔4b3内側面底面之如氮化 鈦等的導體膜、以及在被覆固定有該導體膜之溝4a2及通 孔4b3内邵埋入的銅等所構成之相對上較厚的導體膜。溝 4a3内 < 第二層配線18L3也是與溝乜2内之第三層配線Μ。 具有相同(構造及材料構成。通孔4b4例如係形成平面略 圓形,自層間絕緣膜17f之上面延伸至達於接續部18pL2i 程度。通孔4b4之内部形成有接續部(埋入式配線)i8pL4。 此:接續邵18PL4與上述接續部181>1^爲相同材料構成,與 接續邵18PL2電氣接續。 絕緣膜le、If及層間絕緣膜17g、1711上,形成有溝(凹部) 4a4及通孔(凹部)4b5。溝4以例如係形成平面長方形,其内 部形成有第四層配線(埋入式配線)18L4,第四層配線“Η 之構造及構成材料,係與第三層配線18L3相同。通孔仆5 係形j例如平面略圓形,自層間絕緣膜17h之上面延伸至 達於罘三層配線18L3或接續部18pL4之程度。通孔仆5之内 部形成有接續部(埋入式配線)18pL5。此接續部' = 與上述接續部18pL4具有相同之材料構成,所示的 是’―者與第三層配線18L3電氣接續,而另—者與接續部 -----^------------ (請先閱讀背面之注意事項再填寫本頁)
經濟部智慧財產局員工消費合作社印製 494479 A7 _______________ B7 五、發明說明(61 ) 18PL4接續之場合。 絕緣膜1 g、1 h及層間絕緣膜17i、17j上,形成有溝(凹部) 4a5、4a5及通孔4b6、4b7。溝4a5、4a6例如係形成平面長方 开》狀’其内邵形成有第五層配線(埋入式配線)1 8L5。此處 所例示的是,溝4a6内之第五層配線18L5經由通孔4b6内之 接續邵(埋入式配線)1 8PL7而與第四層配線1 8L4電氣接續 之狀態。此一通孔4b6例如係形成平面略圓形,自溝4&6之 底面延伸至達於第四層配線18L4上面的程度。是以,溝 4a6内之第五層配線18L5與通孔4bl之接續部18PL7係一體 形成。溝4a5内之第五層配線18L5接續部18PL7之構造及材 料構成係與第三層配線18L3及接續部18PL3相同。又,溝 4a5内之第五層配線18PL5也是與構4a6内之第五層配線 1 8PL5具有相同之構造材料構成。通孔4b7例如係形成平面 略圓形’自層間絕緣膜17j之上面延伸至達於接續部18pL5 之程度。通孔4b7之内部形成有接續部(埋入式配線) 18PL8。此一接續部18PL8與上述接續部18PL5爲相同材料 構成,與接續部18PL5電氣接續。 絕緣膜1 i、lj及層間絕緣膜17k、17m上,形成有溝(凹部) 4a7、4a8及通孔(凹部)4b8。溝4a7例如係形成平面長方 形,其内部形成有第層配線(埋入式配線)18L6。此處所示 的是,溝4a7内之第四層配線18L6係經由通孔4b8内之接續 部(埋入式配線)18PL9與第五層配線18L5作電氣接續的狀 態。通孔4b8係形成例如平面略圓形,自溝4a7之底面延伸 至達於第五層配線18L5的上面之程度。因此,溝4a7内之 II---------·裝----- (請先閱讀背面之注意事項再填寫本頁) ·1111111 -64-
494479 經濟部智慧財產局員工消費合作社印製 A7 B7 發明說明(62) 第四層配線18L6與通孔4b8内之接續部18PL9係一體形成, 其構造及材料構成,係與上述第三層配線丨8L3及接續部 18PL3相同。溝4a8内之第六層配線18L6也是與溝4a7内之 第六層配線18L6具有相同之構造及材料構成。 絕緣膜1 k及層間絕緣膜17η上,形成有通孔(凹部)4b9。 通孔4b9例如係形成平面略圓形,自其底面,第六層配線 18L6部份露出。通孔4b9之内部,形成有接續部(埋入式配 線)18PL10。接續部18PL10之構造及材料構成,係與接續 部1SPL1等相同。接續部18PL1〇係與第四層配線18L6電氣 接續。此一層間絕緣膜17n上,形成有第七層配線18L7。 第七層配線1 8L7例如係由氮化鈦、鋁及氮化鈦由下層依序 堆積而成,與接續部18PL10電氣接續。 又,第二層配線18PL2係由單一式金屬鑲嵌法形成,第 三層配線18L3〜18L6係由通常之配線形成方法(導體膜之堆 積及圖案化)所形成。又,自第一層配線丨8L丨至第五層配 線18L5之厚度,例如爲4〇〇 nm程度,配線幅及最小配線間 隔例如爲0.25 # m程度。第六層配線18L6之厚度,例如爲 1200 run程度,配線幅及最小配線間隔例如爲〇·75 程 度。第七層配線18L7之厚度,例如爲2000 nm程度,配線 幅及最小配線間隔,例如爲丨·5 " m程度。接續部丄8pL3至 18PL9之高度,例如爲500 nm程度,直徑例如爲〇·25 "爪程 度。接續部18PL10之高度,例如爲12〇〇 nm程度,直徑例 如爲0.75 "m程度。 其次,茲就本發明技術思想應用於上述半導體積體電路 -65- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公愛) ——1---------·裝---- l· ——訂--------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7 """"-----------B7 五、發明說明(63 ) mi造方法的場合,以圖15〜圖36説明之。又,於圖 15〜圖36之各圖中,⑷係圖14半導體積體電路裝置之製 程中的要部平面圖,⑻係⑷之α·α線斷面圖。又,自此以 t半導體積體電路裝置之製造方法的説明中,爲使説明 簡單化,係表示自圖1 4之一部份摘出之圖。 首先,茲將本發明技術思想應用於單一式金屬鑲嵌法的 場合之一例說明之。圖15所示之上述層間絕緣膜nc,具 有堆積於上述絕緣膜i a上之有機絕緣膜2 a及堆積於其上 之絕緣膜5a。絕緣膜la之厚度例如爲5〇nm程度。有機絕 緣膜2a例如S0G膜所形成,其厚度爲例w25〇nm程度。絕 緣膜5a例如係由以使用⑶⑽氣體之電漿cvd法所形成的 氧化矽膜等所構成,其厚度例如爲1〇〇 nm程度。此一絕緣 膜5 a係1、確保有機絕緣膜2 a之機械強度,在有機絕緣膜 2 a具有機械強度時,不設絕緣膜5 a也可。 在如此種之層間絕緣膜17c上,如圖丨6所示,塗布例如 厚爲120 nm私度之反射防止膜2ia。而後,在該反射防止膜 21a上,形成光阻膜(屏罩層)3a。此一光阻膜。係通孔形 成用屏罩圖案,係經圖案化成通孔形成區域露出且除此之 外之區域經被覆。而後,將此光阻膜3 &作爲蝕刻罩,將自 孩處露出之反射防止膜21a、層間絕緣膜17c以電漿乾式蝕 刻處理依序除去。藉此,如圖丨7所示,形成通孔4Μ。此 一通孔4bl之底面殘留有由氮化矽膜等所構成之絕緣膜 la。通孔4M之直徑,例如爲〇·25 程度。 上述反射防止膜21a之蝕刻條件係如下所述。亦即,處理 -66- 本紙張尺度適用巾@國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) 裝— 經濟部智慧財產局員工消費合作社印製 494479 A7 五、發明說明(64 ) 氣體例如係使用CHF3/CF4/Ar,其卞熹η , 兵成I比例如爲10/90/950 seem程度。處理室内之壓力例如 ^ ^ ^ 、 u口馬750 mTorr程度,鬲頻電 力例如爲900 W程度,下部當打、w ώ , 反 卜卩%極溫度例如爲10°C程度。蝕 刻裝置係使用例如平行平板型狹電極rie裝置。 又,上述層間絕緣膜17c蚀刻處理時,係採用上述本發明 炙技術思想。是以,在通孔4bl之底部不會生成次溝,而 且可以相對氮化秒膜蚀刻選擇比高之狀態作蚀刻處理。此 -蝕刻條件’係如下所示。亦即,處理氣體係採用例如 (^Fs/NVAr,其流量比例如爲12/2〇〇/3〇〇 程度。處理室 内之壓力例如爲20 mTorr程度,高頻電力例如爲1〇〇〇/6〇〇 w 私度,下邵電極溫度例如爲2〇°c程度。蝕刻裝置係採用例 如平行平板型狹電極RIE裝置。 其’人,知光阻膜3 a及反射防止膜2丨a以灰化處理,如圖 1 8所π般之除去後,將通孔4Μ底面露出之絕緣膜丨&以電 漿乾式姓刻處理如圖1 9所示般之除去。此一蝕刻處理中, 係將氮化碎膜選擇性地蝕除。藉此,自通孔4bl之底面, 第一層配線1 8L1之上面露出。此時之蝕刻條件,係如下所 述。亦即’處理氣體係採用例如CHF3/02/Ar,其流量比例 如爲20/20/400 sccm程度。處理室内之壓力例如爲5〇 mT〇rr 程度’兩頻電力例如爲1000/200 w程度,下部電極溫度例 如爲0 C程度。蝕刻裝置例如係採用平行平板型狹電極RIE 裝置。 其次,將例如由氮化鈦(TiN)、妲(Ta)或氮化鈕(TaN)等所 構成之障壁導體膜,在層間絕緣膜17(:上及通孔4bl内以濺 -67- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) <請先閱讀背面之注意事項再填寫本頁) 裝-----r---訂--------1·線 經濟部智慧財產局員工消費合作社印製 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(65 ) 鏡法堆積之。此-障壁導體膜具有抑制銅原子擴散之機能 及提高配線與層間絕緣膜的密著性之機能。而後,在其障 壁導體膜上,將例如由銅所構成之薄種子^“幻導體膜以 濺鍍法堆積後,在該種子導體膜上,將例如由銅所構成之 王導體膜以鍍敷法堆積之。而後,將該主導體膜、種子導 體膜及障壁導體膜之不必要部份之CMp法等研磨除去,而 如圖20所示般之在通孔4bl内形成接續部18pLi。 其’人,如圖2 1所示,在層間絕緣膜i 7c及接續部j 8pL丨之 上面上,將上述絕緣膜1 b以電漿cvd法等堆積後,在其上 再堆積層間絕緣膜17d。層間絕緣膜17d係由有機絕緣膜2 b 及堆積毛其上之絕緣膜5 b所構成。有機絕緣膜2 b及絕緣 膜5 b之構形(形成方法、材料、厚度及機能等),分別與上 述有機絕緣膜2a及絕緣膜5 a之構造(形成方法、材料、厚 度及機能等)相同。 而後’在層間絕緣膜17d上,如圖2 2所示,例如塗布與 上述反射防止膜21a同樣厚度之反射防止膜21b。之後,在 反射防止膜2 1 b上,形成光阻膜3 b。此一光阻膜(厚罩層) 3b係配線溝形成用屏罩圖案,經圖案化成使配線形成區域 露出,使除此以外之區域被覆蓋。而後,將此光阻膜3 b作 爲蝕刻屏罩,將自其露出之反射防止膜21b及層間絕緣膜 17d以電漿乾式蝕刻處理依序除去,而如圖2 3所示般之形 成溝4al。溝4al之底面上殘留有由氮化矽膜所構成之絕緣 膜1 b。 上述反射膜2 1 b之蝕刻條件,係與上述反射防止膜2丨a之 -68- k紙張尺度適用中國國家標準(CNS)A4規格咖χ挪公髮 裝-----r---tr-------- f請先閱讀背面之注音?事項再填寫本頁} 494479 經濟部智慧財產局員工消費合作社印製 A7 ----------B7___________ 五、發明說明(66 ) 蝕刻條件相同。又,於上述層間絕緣膜17d之蚀刻處理 中,係使用本發明之技術思想。是以,不會在溝4al之底 邵生成次溝’而且可在提高對於氮化矽膜之蝕刻選擇比的 狀怨下,進行蝕刻處理。此一層間絕緣膜i 7d之蝕刻條 件,係與上述層間絕緣膜17c之蝕刻條件相同。 其次,將光阻膜3b及反射防止膜21b以灰化處理如圖24 所示般之除去後,將自溝4al之底面露出的絕緣膜丨b以電 聚乾式蚀刻處理如圖2 5所示般之除去。藉此,溝4al之底 面,接續部18PL1之上面露出。此時之蝕刻條件,除了例 如處理氣體之CHFVCMAr之流量比爲20/20/200 seem程度以 外’係與上述絕緣膜1 a之蚀刻條件相同。而後,將例如氮 化欽(TiN)所構成之障壁導體膜,在層間絕緣膜1 %上及溝 4al内以濺鍍法堆積之。此一障壁導體膜具有抑制銅原子 擴散之機能及提高層間絕緣膜與配線的密著性之機能。而 後’在該障壁導體膜上,將例如由銅所構成之薄種子(Seed) 導體膜以濺鍍法堆積後,於該種子導體膜上將例如以銅所 構成之主導體膜,以鍍敷法堆積。而後,將該主導體膜、 種子導體膜及障壁導體膜之不必要部份以CMP法等研磨除 去,藉而如圖2 6所示般在溝4al内形成第二層配線18L2。 其次,茲將本發明技術思想應用於二元金屬鑲嵌法時之 一例説明之。又’於二元金屬镶嵌法之説明中,係將圖1 4 之第二、第三層配線部份作爲一例摘出,就其形成方法説 明,然而,在形成第四〜第六層配線之場合,也可以同樣 之形成方法對應。自層間絕緣膜17g以至17k、17m、17η之 -69- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ——1---------%裝----l· ----訂--- (請先閱讀背面之注音?事項再填寫本頁} 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(67 ) 構造,也是以層間絕緣膜17c、17d、17e、丨”爲代表。 圖27所示之上述絕緣膜lc,具有抑制銅擴散之機能,其 厚度例如爲50 nm程度。其絕緣膜1〇上形成有層間絕緣膜 17e。此一層間絕緣膜17e例如係由與上述有機絕緣膜以相 同之材料及形成方法所形成,其厚度例如爲4〇〇11111程度。 層間絕緣膜17e上堆積有上述絕緣膜ld。絕緣膜“主要係 發揮作爲蝕刻阻隔物之機能,其厚度例如爲1〇〇11111程度。 在此一層間絕緣膜17 e上,如圖2 8所示,塗布與上述反 射防止膜2。等同厚度之反射防止膜21c之後,在該反應防 止膜21c上形成光阻膜(屏罩層)3c。該光阻膜3 c係通孔形 成用之屏罩圖案,經圖案化成使通孔形成區域露出,且使 除此以外之區域被覆蓋。而後,將此光阻膜3 c作爲蝕刻屏 罩’將自其露出之反射防止膜2 1 c、有機絕緣膜丨d以電漿乾 式银刻處理依序除去,藉而如圖2 9般之在絕緣膜1 d上形 成通孔4b3。自此一通孔4b3之底面,層間絕緣膜17e露出。 通孔4b3之直徑例如爲2,35 //m程度。此一殘留之絕緣膜i d 係如後所述般之作爲蝕刻屏罩發揮機能。此一反射防止膜 21c之蚀刻條件,係與上述反射防止膜21a之蝕刻條件相 同。又,絕緣膜1 d之蝕刻條件,除了例如處理氣體之 CHF3/02/Ar的流量比爲20/20/200 seem程度以夕卜,與上述絕 緣膜1 a之蚀刻條件相同。 其次,將光阻膜3 c及反射防止膜2 1 c以灰化處理而如圖 3 0般之除去後,如圖3 1所示,在絕緣膜1 d及自通孔4b3露 出之層間絕緣膜17e上,將有機絶緣膜2 c及絕緣膜5 c自下 70- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) · 11--r---t------I-- (請先閲讀背面之注意事項再填寫本頁) 494479 A7 - 一——— _2Z_____ 五、發明說明(68) 層依序堆積’而形成層間絕緣膜17f。有機絕緣膜2 C及絕 緣膜5 c之構造(形成方法、材料、厚度及機能等),分別與 上述有機絕緣膜2 a及絕緣膜5 a的構造(形成方法、形料、 厚度及機能等)相同。 而後,在層間絕緣膜17f上,如圖3 2所示般之塗布反防 止膜21 d。反射防止膜21 d之厚度係與上述反射防止膜2丨&相 同。繼之,在反射防止膜21d上形成光阻膜(屏罩層)3d。 此一光阻膜3 d係配線溝形成用之屏罩圖案,經圖案化成使 配線形成區域露出,且使除此以外之區域被覆蓋。而後, 將該光阻膜3 d作爲蝕刻屏罩,將自其露出之反射防止膜 21d及層間絕緣膜i7f、17e以電漿乾式蝕刻處理依序除去。 藉此,如圖3 3所示,在層間絕緣膜17f上形成溝4a2,且在 層間絕緣膜17e中形成通孔4b3。亦即,以一個蚀刻步驟, 可形成溝4a2及通孔4b3二者。此溝4a2及通孔4b3之底面, 分別殘留有由氮化矽膜所構成之絕緣膜丨d、丨c。上述反射 防止膜21d之蚀刻條件,係與上述反射防止膜21a之蚀刻條 件相同。又’層間絕緣膜17f、17e之蚀刻處理中,係將絕 緣膜lc、Id作爲蝕刻阻隔物發揮機能。其蝕刻條件係與上 述層間絕緣膜17c之蝕刻條件相同。 其/人’將光阻膜3 d及反射防止膜21 d以灰化處理如圖3 4 所示般之除.去後,將溝4a2及自通孔4b3之底面露出的絕緣 膜lb、lc以電漿乾式蝕刻處理如圖3 5所示般之除去。在此 一蚀刻處理中,係將氮化矽膜選擇性地蝕除。藉此,自溝 4a2之底面’層間絕緣膜i7e之上面露出,而自通孔4b3之底 -71 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) 裝-----^—丨丨訂-------- 經濟部智慧財產局員工消費合作社印製 494479 A7 -----Β7 __ _ 五、發明說明(69 ) 面’第二層配線18L2及接續部18PL2之上面露出。此時之 蚀刻條件,與上述絕緣膜丨a之蝕刻條件相同。 繼之,例如將具有抑制銅擴散機能及提高與絕緣膜密著 性機能之由氮化鈦(Ti.N)、鈕(Ta)或氮化鈕(TaN)等所構成之 障壁導體膜,在層間絕緣膜17f上、溝4a2及通孔4b3内,以 賤鍍法堆積後,在該障壁導體膜上,以濺鍍法堆積例如由 銅所構成之薄種子(Seed)導體膜,再於其上以鍍敷法堆積 例如由銅所構成之主導體膜。之後,將其主導體膜、種子 導體膜及障壁導體膜之不必要部份以CMP法等研磨除去, 藉而如圖3 6所示般之在溝4a2内形成第三層配線18L3,同 時,又在通孔4b3内,形成與第三層配線18L3 一體構成之 接續部18PL3。此一第三層配線18L3係經由通孔仆3内之接 續部18PL3,與第二層配線18L2及接續部18PL2電氣接續。 於圖14所示之半導體積體電路裝置中,藉由將上述配線形 成方法重複至第四層配線1 8L6,而形成其配線層。又,第 七層配線18L7係在層間絕緣膜17η上堆積配線形成用導體 膜之後,將該導體膜以通常之光刻技術及乾式蝕刻技術圖 案化而形成。 根據此一實施形態一,可獲得以下之效果。 (1) ·在有機絕緣膜2、2a至2c中形成溝或孔等之凹部4時, 可抑制在該凹部4之底面外周處次溝5 5之形成。 (2) ·藉由上述(1),可降低構成半導體積體電路裝置之配線 層中不良之發生率。是以,可提高半導體積體電路裝置之 信賴性及產率。 -72- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂--------1 經濟部智慧財產局員工消費合作社印製 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(70 (3) :藉由上述⑴’可在有機絕緣膜2、2awe中形成深寬
比南之溝或孔般之凹部4。 I (4) .藉由上述(3),可使構成半導 — 丁平缸積體電路裝置之配線微 細化,❼使半導體積體電路裝置可高集成%。 (5) .在有機絕緣膜2、2山〇形成溝或孔等^部4時, 可f相對由氮切膜所構成絕緣膜卜“至lk之蚀刻選擇 比提高。因此’在凹部4形成時,可抑制絕緣膜工、&至 lk穿通此一不良現象。 ⑹·藉由上述(5),由於可將絕緣膜1、’“至^薄化,因 此,可降低半導體積體電路裝置之配線的總介電係數。故 而可提高半導體積體電路裝置之動作速度。 (實施形態2) 本實施形態2係説明上述實施形態β變形例,其係就本 發明技術思想,應用於與上述實施形態—所説明之二元金 屬鑲j法;F同之二元金屬鑲嵌法的場合,進行説明。本實 施形態2之半導體積體電路裝置之製造方法,係、以圖37〜 圖4 2 X明如下。又,圖3 7〜圖4 2之各圖中’⑷係圖μ半 導ω積體電路裝置之製程中的要部平面圖,(…係(&)之A_ a 線斷面圖。 ,首先,在圖2 7所示之絕緣膜1 d上,如圖3 7所示般之形 成層間絕緣膜17f。於本實施形態2中,作爲絕緣膜ld之材 料’係與上述實施形態丨相同使用氮化矽膜,但也可代之 以例如由使用TE〇S氣體之電漿CVD法所形成的厚爲丨00 nrn 私度之氧化♦。此一層間絕緣膜17f,如上所述,係在有機 -73 本紙張尺度適时®圏家標毕(CNS)A4規格(210 X 297公爱 ------------裝-----r----訂-------- (請先閱讀背面之注意事項再填寫本頁) 494479 A7 -------_B7_________ 五、發明說明(103) (請先閱讀背面之注意事項再填寫本頁) 必須爲絕緣膜Id之膜厚的3倍以下。這是因爲,若未滿足 此一條件’在上述溝4a2、通孔4b3加工中,上述絕緣膜 1 d、1 c中之任一者會消失。 而後,將自溝4a2及通孔4a3之底面露出的絕緣膜i d、1 c 姓除。此時之蚀刻條件,係與上述實施形態3相同。 自此以降之製程,係與上述實施形態3之圖5 〇所説明的 步驟及上述實施形態丨之圖3 5及3 6所説明的步驟相同,故 在此省略其説明。又,上述對於有機絕緣膜之蝕刻條件, 不受上述者之限制,可在上述實施形態9、1 〇所述之範圍 内作各種變更。 根據此一實施形態1 4,也可獲得與上述實施形態5、6 7 相同之效果。 (實施形態1 5 ) 本貫施开》怨1 5係將上述實施形態9、1 〇所説明之發明,
應用於半導體積體電路裝置之配線的形成方法之情形,其 係説明與上述實施形態1 5又有進一步不同之二元金屬镶嵌 法。又,本實施形態1 5與上述實施形態4 (二元金屬鑲嵌法)一 除蝕刻條件外均屬相同,因此,在本實施形態1 5之説明 中,只將與上述實施形態4不同者摘出説明。 經濟部智慧財產局員工消費合作社印製 本實施形態1 5中,用以形成圖5 3通孔4b3之蝕刻條件, 係如下所述。亦即,蚀刻裝置係與上述實施形態丨相同, 例如採用平行平板型狹電極RIE裝置,處理時之處理室内 的壓力,例如爲4.0 Pa程度,處理氣體例如使用c4F8/〇2/Ai·, 其流量比例如爲25/15/400 seem程度,高頻電力密度例如爲 -106- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 494479 A7 五、發明說明(104) 0.6 W/cm2程度。 其次,圖56之溝4a2形成用之蝕刻條件,係如下所亍 亦即,蚀刻裝置與上述實施形態i相同,例如採用平. 板型狹電極RIE裝置,處理時之處理室内的壓力,例如= 6.5 Pa程度’處理氣體例如採用C4F8/(VAr,丨流量比例: 爲45/15/4〇0 seem程度,高頻電力密度例如爲4 〇 w/㈤程 度。 又,上述相對有機絕緣膜之蝕刻條件,不受上述之限 制,可在上述實施形態9、10所述之範圍内作各種變更。 根據此一實施形態15,可獲得與上述實施形態5、8相同 之效果。 (實施形態1 6) 本實施形態1 6係採用本發明又一技術思想,其係將在構 成層間絕緣膜之有機絕緣膜上形成如溝或孔等之凹部時, 作爲蚀刻阻隔物使用之絕緣膜,以較之構成層間絕緣膜之 有機絕緣膜,有機量(碳含量)多的有機絕緣膜構成。 發明人等在研討上述實施形態1〜丨5所説明之發明時發 現,即使是相同之有機絕緣膜,因其中所含之有機量,蚀 刻速度會變化。 圖102係根據發明人所作之實驗結果的有機量比與蚀刻速 度之關係。由此一圖102可知,隨著有機量之增加,蚀刻 速度會降低。此時之蚀刻條件係如下所示。亦即,蚀刻裝 置例如採用平行平板型狹電極RIE裝置,處理時之處理室 内壓力,例如爲30 mTorr程度,處理氣體例如採用 107 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) f請先閱讀背面之注音?事項再填寫本頁}
. 丁 ^ i ammme «ϋ ·ϋ I— n V · tat n ϋ ammmm n —9 n I 經濟部智慧財產局員工消費合作社印製 494479 A7 、發明說明(1〇5) QF /CVAr,其流量比例如爲13/15/42〇吻程度,上部/下 =力’例如爲22〇〇/_ w程度,下部電極之溫度例 馬 王又。又,有機量比係以(相對上有機量多之有 機絕緣膜的有機含量)/(相對卜右撼田^ ^ 有機含量)表示。 有機!少的有機絕緣膜的 又,圖1〇2之钮刻速度’以相對構成層間絕緣 ^㈣刻選擇比表示之結果’係如圖1〇3(a)、(b)及圖ι〇4 所不。圖103(a)係在本、 量相對多的有機絕緣膜二:二;=面地堆積有機 巴豕膜丹於其上堆積有機量相對少的有 機,緣膜之狀態下,自上層進行蚀刻處理之場合,相對有 :量:對少之有機絕緣膜,有機量相對多之有機 蚀刻選擇比之測定結果。又,圖卿)是在半導體晶圓之 王面上全面地堆積有機量相對多之有機絕緣膜,又在立上 堆積有機量相對少之有機絕緣膜的狀態下,在有機量相對 少(有機絕緣膜上,以蚀刻處理形成例如平面尺寸爲i㈣ 程,(溝的場合下,相對有機量相對少之有機絕緣膜,有 機里相對多之有機絕緣膜的蝕刻選擇比之測定结果。又, 圖104⑷,係將上述圖i 〇3⑷、⑻之、结果表於一㈣線圖内 的結果’(b)係以模式方式表示之各有機量比之有機 膜的化學構造例。 & 由此等圖可知,隨著有機量之增加,蝕刻選擇比會增 =特別是如1()3⑻所示,在微細之溝加工中,藉由有^ 量之增曰加,若採用例如作爲層間絕緣膜使用之有機絕緣膜 (有機量相對少之有機絕緣膜)的有機量之約2倍有機量, (___, __ - 108 - 本紙張尺度適用令國國家標準(CNS)A4規格(21〇 χ 297公釐
請 先 閱 讀 背 δ 之 注 意 事 項 再 I裝 頁I
I I 訂
I 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(106) 可獲得對於蝕刻阻隔物膜必要之蝕刻選擇比5,亦即,可 明瞭的是,隨著有機量的增加,可將有機絕緣膜作爲餘刻 阻隔物使用。又,此處所稱之蝕刻選擇比,係以(有機量 相對少之有機絕緣膜的蝕刻速度)/(蝕刻阻隔物膜之蝕刻 速度)表示。 又,圖105及圖106,係在有機絕緣膜上形成如溝或孔之 凹部時,作爲蝕刻阻隔物,使用氮化矽膜的場合及使用有 機量相對多之有機絕緣膜的場合下,凹部之尺寸與蝕刻選 擇比之關係的測定結果曲線圖。蝕刻條件與圖1〇3及圖1〇4 所説明者相同。由圖可知,若溝之寬或孔的直徑變小時, 有機量相對多之有機絕緣膜,較之氮化矽膜,蝕刻選擇比 急劇地提高。 又,圖107係發明人等所獲得之各絕緣膜的特性(接著 性、蝕刻選擇比、銅之擴散抑制能、介電係數)之综合表 不圖。又,蝕刻選擇比係有機量相對少之有機絕緣膜作爲 層間絕緣膜使用時,相對其層間絕緣膜之各膜的蝕刻選擇 比。又,蝕刻阻隔膜,係本發明技術思想之有機量相對多 之有機絕緣膜,可獲得2.5〜4.0之介電係數。;61〇1^係八叩11以 Materials公司之商品名,係有機系之絕緣膜。根據本發明 技術思想 < 新有機絕緣膜,可有確保與氮化矽膜同等程度 足蝕刻選擇比下,將介電係數形成爲氮化矽膜之一半以下。 根據發明人等之實驗結果,有機量比設成蝕刻阻隔用有 機膜之2倍的有機絕緣膜(簡稱有機絕緣膜(2倍)),與原有 機絕緣膜相較,蚀刻速度成爲丨/ 3。又,如上所述,在溝 109- 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公楚 - — III·! — — ·1111111« (請先閱讀背面之注意事項再填寫本頁)
494479 A7 ------ -B7__ 五、發明說明(1〇7 ) 或孔等處實驗的結果發現,因微搭載效果等,蝕刻速度更 慢’成爲原有機絕緣膜之1/6。此一現象,反面言之^説 疋’有機絕緣膜(2倍)相對原有機絕緣膜,蝕刻選擇比爲 6。而且,此一有機絕緣膜(2倍)之介電係數,例如爲2·7 之私度,較氮化矽膜之介電係數7大幅爲低。此處,因可 藉將有機絕緣膜(2倍)作爲蝕刻阻隔物介在於配線層而大 幅降低配線層之總介電係數,故可大幅提高半導體積體電 路裝置之動作速度。當然,例如有機量爲1〇〇%以上之有機 乡巴緣膜:’也可獲得相同之效果。 有機量相對多之有機絕緣膜,較有機量相對少之有機絕 緣膜,可提高蝕刻選擇比的理由是,例如可作以下之考 量。亦即,如圖108(a)所示,於有機量多之有機絕緣膜 中’因氧化矽(Si〇)含量少之故,作爲氧化矽之蝕刻劑的 C F自由基之消耗量少。因此,c F自由基之堆積量增多, 以致蚀刻速度減緩。又,如圖1〇8(b)所示,必須蝕除之碳 (C )的密度大,作爲蝕刻劑之氧(〇 )自由基不足。是以, 蝕刻速度慢。根據此等理由,相對有機量相對少之有機絕 緣膜,有機量相對多之有機絕緣膜的蝕刻選擇增加。 又’此一有機量相對多之有機絕緣膜,在作爲層間絕緣 膜之有機絕緣膜材料例如使用siLK (Dow Chemical公司之 商品名)、Black Diamond (Applied Materials 公司之商品 名)、Blok (Applied Materials公司之商品名)、BCB (苯並環 丁烯)、FLARE (Applied Signal公司之商品名)等時,也可 作爲蚀刻阻隔物使用。又,有機量相對多之有機絕緣膜, -110- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) (請先閱讀背面之注意事項再填寫本頁) 裝— ϋ n- i 1. 一 δτ · «ϋ ϋ ϋ n ϋ n · 經濟部智慧財產局員工消費合作社印製 494479
五、發明說明(108) 在層間絕緣膜以有機絕緣膜以外之絕緣膜,例如以利用 TE0S氣體的電漿CVD法等所形成之氧切膜或_構成的 場合’也可作爲蝕刻阻隔物使用。又,作爲蝕刻阻隔物使 用之有機絕緣膜中,也包含有機⑦氧^阻隔物膜、有機 系阻隔物膜。 而後,將使用本發明技術思想之半導體積體電路裝置的 構造例,示於圖109〜圖1U中。圖1〇9〜圖m,係將圖"之 第二層配線1 8L3及第四層配線18L4部份摘出表示。 圖109所示之本實施形態16中,絕緣膜id、“係由較層 間絕緣膜17e〜17h中之有機絕緣膜,有機量爲多之有機絕 緣膜所構成。絕緣膜1 e、i c,與上述實施形態i〜丨5相 同,例如係由氮化矽膜所構成。又,層間絕緣膜17e〜17h 之構造(材料、厚度、機能及形成方法等),係與上述實施 形態1〜1 5所述者相同。 又,圖110係圖109之變形例。絕緣膜i d、1 f例如係在氮 化石夕膜ldl、lfl上’堆積有機量較上述層間絕緣膜〜ph 中之有機絕緣膜爲多之有機絕緣膜i d2、1 f2所構成。此一 場合下,由於可薄化絕緣膜丨d、丨[中氮化膜之厚度,可降 低半導體積體電路裝置中之配線的總介電係數。 再者,圖111係圖110之變形例,其係在有機量較上述層 間絕緣膜17e〜17f中之有機絕緣膜爲多的有機絕緣膜1 d2、 lf2上’例如堆積氮化矽膜ldl、lf2而構成絕緣膜1 d、1 f, 同時又除去第三層配線18L3及第四層配線18L4下之氮化矽 膜Idl、1Π。此一場合下,因係將配線下之氮化矽膜除 -111 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁)
u n n n I n n 一 ov · n ϋ l a— an ϋ 經濟部智慧財產局員工消費合作社印製 494479 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(1〇9) 去’因此’較之圖丨1〇之場合,更能降低半導體積體電路 裝置之配線的總介電係數。 其次’從將本發明技術思想應用於半導體積體電路裝置 之製造万法的場合說明之。將如上述般之新有機絕緣膜作 馬蝕刻阻隔物使用之本發明技術思想,在上述實施形態 1〜1 5中均適用。此一場合下,可將圖1 4絕緣膜lb、Id、 If、Ih以有機量相對多之有機絕緣膜構成即可。其具體之 一例係如下所示。 本發明 < 技術思想應用於例如上述實施形態5之二元金 屬鑲嵌法的場合,係如下所述。又,此處係就上述實施形 悲5所說明者不同之部份作説明。 首先,於圖6 7等中,絶緣膜1 d係採用有機量相對多之新 有機:邑緣月吴,其厚度爲1〇〇疆程度。藉由對此有機絕緣膜 ιοί—犯以蝕刻處理,而形成通孔4b3時之蝕刻條件,係如下 所π亦即,蝕刻裝置例如係使用平行平板型狹電極rie 裝置’處理時之處理室内的壓力例如爲25m 丁 〇γγ程度,處 理祝體例如係使用C4F8/〇2/Ar,纟流量比例如爲3湖/彻 seem私度’上部/下邵電極高頻電力,例如爲5_細^程 度,下部電極之溫度,例如爲-2(rc。 、又,經過圖68及圖69之步驟後,上述之實施形態5係將 溝4a2<溝底的由氮化矽膜所構成之絕緣膜u除去,但在 本實施形態16中,則不除去由新有機絕緣膜所構成之 膜Id,而是蚀除在通孔4b3之底面殘留之由氮化石夕 成的絕緣膜U。蝕刻條件係與上述實施形態5等相同。而 -112 私紙張尺度適用中國國家標準(CNS)A4規格(21Q χ撕公[ (請先閱讀背面之注意事項再填寫本頁)
裝 H ϋ n^OJ· n n n I I n n 494479 Α7
五、發明說明(11〇) 經濟部智慧財產局員工消費合作社印製 後,依、同於上述實施形態i等之方式,S溝4a3及通孔4b3 内埋入導體膜,而形成如圖109所示之配線構造。 又,本發明心技術思想,例如應用於上述實施形態6之 二疋金屬鑲嵌法時,蝕刻條件等與上述有機矽氧烷6完全 相同。 *如曰此,根據本實施形態16,除了上述實施形態卜15所 獲得之效果以外,還可獲得以下之效果。 (1).作爲姓刻阻隔物,藉由使用介電係收低之新有機絕緣 膜’可降低+導體㈣電路裝置之配線的總介電係數。 (實施形態1 7 ) 又,上述實施形態16所説明之本發明技術思想,例如應 用於上述實施形態7之二元金屬鑲嵌法的場合,係如下所 述0 、圖112中所示的是,上述實施形態3之圖4 3〜圖4 7所説明 之步驟經過後,半導體積體電路裝置之製程中的要部。 又,絕緣膜id係由有機量較層間絕緣膜176、17£中之有機 絕緣膜爲多的有機絕緣膜所構成,其厚度例如爲i〇〇nm程 度。 自此一狀態,依同於上述實施形態3之方式,將光阻膜 3 c作爲蝕刻屏罩,將自其露出之反射防止膜以電漿乾式蝕 刻處理’依圖113所示之方式除去,而露出絕緣膜5 〇。此 時之蝕刻條件,例如與上述實施形態i、3等相同即可,也 可爲以下之方式。亦即,蚀刻裝置例如係使用通常之rie 裝置’處理時之處理室内的壓力例如爲75〇 mT〇rr程度,處 - -113- 本紙張尺度適用中國國家標準(CNS)A4規格⑽χ 297 · I — 1 l· I i I ^^ ·1111111, (請先閱讀背面之注意事項再填寫本頁)
494479 五、發明說明(川) 理氣體例如係使用CHF3/C:IVAf,iL ^ | β > 3 r4/Ar具"丨u I比例如爲1〇/9〇/950 seem程度’高頻電力例如 j馬90〇 W私度,下郅電極溫度例 如爲10 C。 而彳將光阻膜3C作爲姓刻屏罩,將有機絕緣膜2〇及 絕緣腱1(1以電漿乾式蝕刻處理依序除去,而如圖114般之 形成1^孔仆3。自此一處理後之通孔4b3的底面,層間絕緣 膜17e露出。此時之蝕刻條件,係如下所示。亦即,蚀刻 裝二係)、上述貫;^开)怨1相同,例如使用平行平板型狹電 極RIE裝置,處理時之處理室内的壓力例如爲25瓜〜^程 度,處理氣體例如係使用Cjs/C^/Ar,其流量比例如爲 35/20/400 seem程度,而頻電力密度,例如爲500/200 w程 度’下邵電極之溫度,例如爲-2〇。〇程度。 而後,依同於上述實施形態3之方式,將光阻膜3 c及反 射防止膜2 1 g以灰化處理如圖η 5所示般之除去後,將殘留 之絕緣膜2 2作爲蝕刻屏罩,將自開口部2 3及通孔4b3之底 面露出的層間絕緣膜17f、17e,以電漿乾式蝕刻處理除 去。此處,係至由有機絕緣膜所構成之層間絕緣膜17e、 17f的途中深度位置爲止,形成溝4a2及通孔4b3。藉此,如 圖116所示’形成溝4a2及通孔4b3。此溝4a2之底面上殘留 有有機絕緣膜2 c,通孔4b3之底面上,殘留有層間機絕緣 膜17e。此一殘留之絕緣膜(2C、17e)之厚度爲原絕緣膜 (2c、17e)厚度之30%以下,或20%以下,宜爲15%以下。此 時之蝕刻條件例如係與上述圖114之通孔4b3形成時的蝕刻 條件相同。 -114- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
* n n n Ki n »1 n 一:eJa _l«i an — ϋ n n ϋ 經濟部智慧財產局員工消費合作社印製 494479 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(112) 而後,將絕緣膜2 2作爲蝕刻屏罩,將殘留之層間絕緣膜 17e、17f以電聚乾式触刻處理除去。此處,係將絕緣膜i c 及由有機絕緣膜所構成之絕緣膜丨d作爲蚀刻阻隔物,施以 蝕刻處理。藉此,如圖117所示,形成溝牝2及通孔朴3。自 溝乜2之底面,絕緣膜1 d露出,自通孔4b3之底面,絕緣膜 lc露出。此時之蝕刻條件係如下所示。亦即,蝕刻裝置係 與上實施形態1相同,例如採用平行平板型狹電極rie裝 置,處理時之處理室内的壓力例如爲3〇mT⑽程度,處理 氣體例如係^用,其流量比例如爲i3/i5/42〇 seem程度,高頻電力密度,例如爲22〇〇/14〇〇 w程度,下部 電極之溫度,例如爲_2〇°C程度。 而後,將自通孔4b3之底面露出的絕緣膜u,依同於上 述實施形態1、3之方式除去,而自其底面將第二層配線 18L2之上面之一部份露出。自此以降之製程,係與上述實 施形態1之圖3 6所説明的製程相同,在此省略其説明。 惟,上述對於有機絕緣膜之蝕刻條件,不受上述者之限 制’可在上述實施形態9、1〇所述之範圍内作各種變更。 根據本實施形態丨7,也可獲得與上述實施形態5、7、 1 6相同之效果。 以上’係就發明人等爲之發明,根據實施形態所作之且 體説明’但本發明不受上述實施形態之限制,在不脱離其 要旨之範圍内,無疑可作各種變更。 、 例如’於上述實施形態5~8、16' 17中,將有機絕 蚀刻時之處理氣||,係採CxFy/氧/氬,但不受此限制,例 -115- 參紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁)
494479 A7 B7 五、發明說明(115 如代替其氧,也可使用NO、N02或CO。 、又\例如在上述實施形態1〜17中,構成配線或接續部之 王導體膜的形成方法,係以鏡敷法作說明,不受此限 :,可作各種變更,例如可採用CVD法或濺鍍法。此一場 合下,障壁導體膜上不必設種子導體膜。又,其主導體膜 之材料不限於銅,也可作各種變更,例如可採用銘、=或 銀。f一場合下,也可不設置用以抑制主導體膜原子擴散 之由氮化矽等所構成的絕緣膜。 又,例如於上述實施形態卜17中,係將第—、第七層配 線=通常之配線構造爲例説明,但不受此限制,例如:可 將罘一、第七配線以金屬鑲嵌法或二元金屬鑲嵌法形成。 又’於上述實施形態卜口中,係就作爲半導體積體電路 基板使用由半導體積體單體所構成之半導體基板的場合進 行説明,但不受此限制,例如,可使用在絕緣層上設置薄 半導體層而成之S0I (Silicon 0n Insulator)基板在半導體 基板上設置磊晶層而成之磊晶基板。 以上之説明,係將發明人所完成之發明,應用於其背景 利用領域之具有CMIS電路之半導體積體電路裝置的場合所 馬’但不受此限制,例如’亦可應用於例如dram (動態 隨機存取記憶體)、SRAM (靜態隨機存取記憶體)、或快閃 1己憶體(EEPROM;電可抹除式可程式化唯讀記憶體)等之 具有記憶電路之半導體積體電路、微處理器等之具有邏輯 電路的料體積體電路裝置、或在同一基板上設有記憶電 路及邏輯電路足混載型半導體積體電路裝置。 -116 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公髮) (請先閱讀背面之注意事項再填寫本頁) 裝-----
-n ϋ n^aJ· ϋ «ϋ n ϋ I I» I I 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 #4479
五、發明說明(114) 本發明之技術思想,不只限於應用於半導體積體電 裝k製造万法’其亦可應㈣例如液晶基板或磁頭等 敛又其他電子裝置(電子電路裝置)等之製造方法。 [發明之效果] 本發明所揭示之發明中,南並A主α , ^ τ由其代表性例所能獲得之效 果,簡言之係如下所示。 (匕在以有機梦氧烷爲主成分之絕緣膜上,以蝕刻處理形 =或孔等般之凹部時’可抑制或防止其凹部之底面外 周形成異常形狀(次溝)。 (2) ·在以有機硬氧烷爲主成分之絕緣膜上,以蚀刻處理形 2溝或孔等般之凹部時,可在確保相對作爲㈣阻隔物 發揮機能《氮化矽膜的蝕刻選擇比下,且在可抑制戋防止 凹邵之底部產生異常形狀的狀態下,形成凹部。 (3) ·根據上述⑴、⑺’可降低構成半導體積體電路裝置之 :線層中的不良發生率。是以’可提高半導體積體電路裝 置又仏賴性及產率。 (:.根據上述⑴、(2)、(3) ’在以有機矽氧烷爲主成分之絕 、’’膜上,可形成深寬比高之如溝或孔般之凹部。 =).根據上述⑷,將使構成半導體積體電路裝置之配 被細化成爲可能,使半導體積體電路裝置可高集成化。 ⑹.在以有機硬氧烷爲主成分之絕緣膜上,形成如 =凹邵時’可使對於作爲㈣阻隔物發揮機能之氮化 空蝕刻選擇比提高。因此,在凹部形成時,其氮化矽膜之 通此-不艮現象可予抑制。是以’可將作爲蝕刻阻隔物 -117- 本紙張尺度_屮關輯準(CNS)A4規格⑽χ视公髮) (請先閱讀背面之注意事項再填寫本頁)
A7 B7 、發明說明(115) 發揮機能之氮化兮膜薄化。 曰卩料使疋以有機矽氧烷爲主成分之絕緣膜,可依所含之 ^更#刻選擇比。是以,可將以介電係數低之有機碎 馬王成分的絶緣膜作爲蝕刻阻隔物使用。 (8)·根據上述⑹、⑺,可降低半導體積體電 的總介電係數。是以,可提高 卜配線 速度。 路裝置之動作 ----裝:---- (請先閱讀背面之注意事項再填寫本頁) Ί^τ· 111111 - 經濟部智慧財產局員工消費合作社印製 -118- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. ..2.494479 Α8 Β8 C8 第89112416號專利申請案 中文申請專利範圍修正本(91年1月)δ°8 六、申請專利範圍 1. 一種半導體積體電路裝置之製造方法,其特徵係在包含: (請先閲讀背面之注意事項再填寫本頁) (a) 在半導體積體電路基板之第一主面上,形成以有 機矽氧烷為主成分的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成經圖案化的屏罩層之 步騾;及 (c) 在具有上述屏罩層之狀態下,在含有含氟碳蚀刻 氣體及氮氣之氣體氣氛中,對於上述第一絕緣膜施以 電漿蝕刻處理,以在上述第一絕緣膜上形成第一凹部 之步驟。 2. 如申請專利範圍第1項之半導體積體電路裝置之製造方 法,其中該氣體氣氛之最大氣體成分為氬氣者。 3. 如申請專利範圍第2項之半導體積體電路裝置之製造方 法,其中該氣體氣氛含有氧氣者。 4. 如申請專利範圍第2項之半導體積體電路裝置之製造方 法,其中該氣體氣氛實質上不含氧氣者。 5. —種半導體積體電路裝置之製造方法,其特徵係在包含: (a) 在半導體積體電路基板之第一主面上,形成以有 機矽氧烷為主成分的第一絕緣膜之步驟;及 經濟部中央標準局員工消費合作社印製 (b) 在上述第一絕緣膜上,形成以較之上述第一有機 矽氧烷,含碳量低之第二有機矽氧烷為主成分的第二 絕緣膜之步驟。 6. 如申請專利範圍第5項之半導體積體電路裝置之製造方 法,其中該第二絕緣膜與上述第一絕緣膜相較,其膜厚 較厚者。 7. 如申請專利範圍第6項之半導體積體電路裝置之製造方 本紙張尺度適用中國國家標準(CNS )八4規格(210X297公釐) 494479 A8 B8 C8 D8 六、申請專利範圍 (請先閲讀背面之注意事項再填寫本頁) 法,其中該第一絕緣膜與上述第二絕緣膜相較,碳含量 多50%以上者。 8. 如申請專利範圍第6項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜與上述第二絕緣膜相較,碳含量 多100%以上者。 9. 一種半導體積體電路裝置之製造方法,其特徵係在包含: (a) 在半導體積體電路基板之第一主面上,'形成以有 機矽氧烷為主成分的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成經圖案化的屏罩層之 步驟;及 (c) 在具有上述屏罩層之狀態下,在含有含氟蝕刻氣 體及氮氣的氣體氣氛中,對於上述第一絕緣膜施以電 漿蝕刻處理,以在上述第一絕緣膜上形成第一凹部之 步驟。 10. 如申請專利範圍第9項之半導體積體電路裝置之製造方 法,其中該氣體氣氛之最大的氣體成分係氬氣者。 11. 如申請專利範圍第9項之半導體積體電路裝置之製造方 法,其中該氣體氣氛含有氧氣者。 經濟部中央標隼局員工消費合作社印製 12. 如申請專利範圍第1 0項之半導體積體電路裝置之製造方 法,其中該氣體氣氛實質上不含氧氣者。 13. —種半導體積體電路裝置之製造方法,其特徵係在於該 裝置具有埋入式配線,該製造方法包含: (a) 在半導體積體電路基板之第一主面上,形成構成 上述埋入式配線的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成構成上述埋入式配線 -2- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標隼局員工消費合作社印製 494479 A8 B8 C8 -——__ D8___ 六、申請相朗 ' 之以有機矽氧烷為主成分的第二絕緣膜之步驟; (C)在上述第二絕緣膜上,形成經圖案化的屏罩芦之 步驟; 胃 (幻在具有上述屏罩層之狀態下,於第一氣體氣氛 中,對於上述第二絕緣膜施以第一電漿蝕刻處理,以 在上述第二絕緣膜上形成第一凹部之步驟; 0)在上逑第一凹部形成之狀態下,於第二氣體氣氛 中’在與上述第一電漿蝕刻處理相較,相對上述第一 絕緣膜之第二絕緣膜的蝕刻選擇比相對上較大之條件 下,將上述第一絕緣膜作為蝕刻阻隔物,對於上述第 二絕緣膜施以第二電漿蝕刻處理,而露出上述第一絕 緣膜之步驟。 14·如申叫專利範圍第1 3項之半導體積體電路裝置之製造方 法,其中該步驟(d)終了時,與上述第二絕緣膜之初期 厚度相較,上述凹部底面之上述第二絕緣膜之厚度, 係在30°/。以下者。 15.如申請專利範圍第13項之半導體積體電路裝置之製造方 法,其中該步驟(d)終了時,與上述第二絕緣膜之初期 厚度相較,上述凹部底面之上述第二絕緣膜之厚度, 係在20¾以下者。 6·如申明專利範圍第1 3項之半導體積體電路裝置之製造方 法,其中該步驟(d)終了時,上述凹部底面之上述第二 絕緣膜之厚度,係在15%以下者。 17.如申請專利範圍第丨4項之半導體積體電路裝置之製造方 __—___ - 3 - 本紙張峨ϋϋϋΤ—)八娜(210><29^^-—-- (請先閎讀背面之注意事項再填寫本頁) 訂
    經濟部中央標準局員工消費合作社印製 1去,其中該第一絕緣膜係以氮化矽為主成分者。 8·種半導體積體電路裝置,其特徵係在具有以下之構成: U)设於半導體積體電路晶片之第一主面上,以第一 有機硬氧燒為主成分之第一絕緣膜;及 (b)设於上述第一絕緣膜上,以較之上述第一有機矽 氧烷,碳含量較低的第二有機矽氧烷為主成分之第二 絕緣膜。 19·如申請專利範圍第丨8項之半導體積體電路裝置,其中該 第二絕緣膜與上述第一絕緣膜相較,其膜厚較厚者。 20.如申請專利範圍第丨9項之半導體積體電路裝置,其中該 第一絕緣膜與上述第二絕緣膜相較,碳含量多5〇%以上 者。 21·如申請專利範圍第19項之半導體積體電路裝置,其中該 第一絕緣膜與上述第二絕緣膜相較,碳含量多100〇/〇以 上者。 22. —種半導體積體電路裝置,其特徵係在具有以下之構成: (a) 設於半導體積體電路晶片之第一主面上,由矽氮 化物或碎氧氮物所構成,且具有第一開口之第一矽氮 化膜; (b) 設於上述第一矽氮化膜上,以較之上述第一矽氮 化膜介電係數為小之第一有機矽氧烷為主成分,具有 連結於上述第一開口的第二開口之第二絕緣膜; (c) 設於上述第二絕緣膜上,以較之上述第一矽氮化 膜介電係數為小之絕緣膜為主要構成膜,具有連結於 -4 - 本纸張U適用宁國國冢標準(CNS ) A4規格( 210X297公董) (請先閲讀背面之注意事項再填寫本頁) 訂 ♦ 六、申請專利範圍 上述第二開口之與上述第一 第三開口及與其連心二一起形成第-通孔的 一 … 罘—配線埋入溝,且較上述第 一絕緣膜為厚之第一層間絕緣膜; ⑷以被覆上述第-通孔之底面及内侧面以及上述第 -配線埋入溝之底面及内侧面的方 性障壁層;及 示夺电 ⑷以埋入設有上述第一導電性障壁層之 j上述第-配線埋入溝内的銅為主成分之第 域。 ^ 23. 24. 25· ^申請專利第22項之半導體積體電路裝置, 第二絕緣膜係較上述第—矽氮化膜為厚者。 ^ 如申請專利範圍第23項之半導體積體電路裝置,其中該 第-絕緣膜’係以較之.上述第一有機碎氧烷碳數: 之第二有機矽氧烷為主成分者。 一種半導體積體電路裝置之製造方法 式配線,該製造方法包含: 該裝置具有埋入 ⑷在半導體積體電路基板之第―主面上,形成構成 上述埋入式配線的第一絕緣膜之步驟; (b)在上述第一絕緣膜上,形成供形成上述埋入式配 線之以有機矽氧烷為主成分的第二絕緣膜之步驟; (C)在上述第二絕緣膜上,形成經圖案化的屏罩層之 、(d)在具有上述屏罩層之狀態下,於含有含氟碳氣體 之姓刻氣體及氮氣之第一氣體氣氛中,對於上述第一 -5- 本紙張从適财國國CNS )八4胁(210X297公β '—— -----_ 494479 經濟部中央標隼局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 絕緣膜施以第一電漿蝕刻處理,以在上述第二絕緣膜 上形成第一凹部,而將上述第一絕緣膜露出之步騾。 26. 如申請專利範圍第2 5項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜係以氮化矽為主成分者。 27. 如申請專利範圍第25項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛之最大氣體成分係氬氣者。 28. 如申請專利範圍第2 7項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛含有氧氣者。 29. 如申請專利範圍第2 7項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛實質上不含氧氣者。 30. —種半導體積體電路裝置之製造方法,該裝置具有埋入 式配線,該製造方法包含: (a) 在半導體積體電路基板之第一主面上,形成構成 上述埋入式配線之層間絕緣膜,並以第一有機矽氧烷 為主成分的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成供構成上述埋入式配 線,較上述第一絕緣膜膜厚為薄,且以成分與上述第 一有機碎氧燒不同之第二有機碎氧燒為主成分的弟二 絕緣膜之步驟; (c) 在上述第二絕緣膜上,形成經圖案化的屏罩層之 步驟; (d) 在具有上述屏罩層之狀態下,於含有含氟碳蚀刻 氣體之第一氣體氣氛中,將上述第一絕緣膜作為蝕刻 阻隔物,對於上述第二絕緣膜施以第一電漿蝕刻處 -6- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 、申請專利範圍 以在上述第二絕緣膜上形成 述第一絕緣膜之步驟。 邵,而露出上 儿如中請專利範圍第3〇項之半導體 法’其中該第二絕緣膜,與上述第一二 =置之製造方 厚較厚者。 彖膜相較,其膜 玟如申請專利範固第31項之半導體積體 止 法,其中該第-絕緣膜與上述第二:,为方 多50%以上者。 胰相較,碳含量 頁 33. 如申請專利範圍第32項之半導體積體電' 法,其中該第一絕緣膜盥t找筮妨 置< I k万 多驗。以上者。 述矛二絕緣膜相較,碳含量 34. 如申請專利範圍第33項之半導體積體電 訂 ;:該步驟⑷中,上述第二絕緣膜之相對上述= 緣膜的蝕刻選擇比,係在4以上者。 35. 如申請專利範圍第34項之半導體積體電路裝置之製造方 法’該步驟⑷中’上述第二絕緣膜之相對上述第一絕 緣膜的银刻選擇比,係在5以上者。 36. -種半導體積體電路裝置之製造方法,其特徵係在於該 經濟部中央標率局員工消費合作社印製 裝置具有供配線層間相互接續之插件區域及埋入式配線 金屬係-次埋人之二元金屬隸型埋人式配線,該製造 方法包含: (a) 在半導體積體電路基板之第一主面上,形成構成 上述埋入式配線的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成供構成上述埋入式配 線之以有機矽氧烷為主成分的第二絕緣膜之步驟; 本紙張尺度適用中國國家榇準(CNS ) A4規格(210X297公釐) 494479 A8 B8 C8 D8 申請專利範圍 ⑷在上述第:絕緣膜上,形成經圖案化 步騾; #干曰心 (d)在具有上述屏罩層之舳能 中^ ;上U罘一絕緣膜施以第一電漿蝕刻處理,以 在上述第二絕緣膜上形成第一凹部之步驟; 在上述第:凹部形成之狀態下,於第二氣體氣氛 中,在與上述第一電漿钱刻處理相較,相對上述第一 絕緣膜之第二絕緣膜的蝕刻選擇比相對上較大之條件 下,對於上述帛:絕緣膜施以第二電漿姓刻處理,而 路出上述第一絕緣膜之步驟。 37.如申請專利範圍第3 6項之半導體積體電路裝置之製造方 38 (請先閲讀背面之注意事項再填寫本頁) 法,其中該第一絕緣膜係以氮化矽為主成分者。 一種半導體積體電路裝置之製造方法,其特徵係在於該 裝置具有供配線層間相互接續之插件區域及埋入式配線 金屬係一次埋入之二元金屬鑲嵌型埋入式配線,該製造 方法包含: (a) 在半導體積體電路基板之第一主面上,形成供構 成上述埋入式配線的第一絕緣膜之步驟; 經濟部中央標隼局員工消費合作社印製 (b) 在上述第一絕緣膜上,形成供構成上述埋入式配 線之以有機矽氧烷為主成分的第二絕緣膜之步驟; (c) 在上述第二絕緣膜上,形成經圖案化的屏罩層之 步驟; 胃 (d) 在具有上述屏罩層之狀態下,於含有含氟碳蝕刻 氣體及氮氣之第一氣體氣氛中,將上述第一絕緣膜作 為蝕刻阻隔物,對於上述第二絕緣膜施以第一電漿蝕 -8 表紙張认適用中國國家標準(CNS) A4^ (21GX297公董) -7-~ -7-~ 經濟.那中央標隼局員工消費合作社印製 494479 A8 B8 C8 六、申請專利範圍 刻處理,以在上述第二絕緣膜上形成第一凹部 出上述第一絕緣膜之步驟。 39. 如申請專利範圍第3 8項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜係以氮化矽為主成分者。 40. -種半導體積體電路裝置之製造方法,其特徵係在於該 裝置具有供配線層間相互接續之插件區域及埋入式配線 形成用的導體膜係一次埋入之二元金屬鑲嵌型埋入式配 線’該製造方法包含: (M在半導體積體電路基板之第一主面上,形成供構 成上述埋入式配線的層間絕緣膜,且以第一 燒為主成分的第一絕緣良之步驟; 有機^ (b) 在上述第一絕緣膜上,形成供構成上述埋入式配 線,較上述第一絕緣膜膜厚為薄,且以成分與上述第 一有機矽氧烷不同之第二有機矽氧烷為主成分的第二 絕緣膜之步驟; (c) 在上述第二絕緣膜上,形成經圖案化的屏罩層之 步驟; ^ (d) 在具有上述屏罩層之狀態下,於含有含氟碳蝕刻 氣體之第一氣體氣氛中,將上述第一絕緣膜作為蝕刻 阻隔物,對於上述第二絕緣膜施以第一電漿蝕刻處 理,以在上述第二絕緣膜上形成第一凹部,而露出上 述第一絕緣膜之步驟。 41· 一種半導體積體電路裝置之製造方法,該裝置具有埋入 式配線,該製造方法包含: (a)在半導體積體電路基板之第一主面上,形成構成 -9 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
    494479 A8 B8 C8 D8 六、申請專利範圍 上述埋入式配線的第一絕緣膜之步騾; (b) 在上述第一絕緣膜上,形成供形成上述埋入式配 線之以有機矽氧烷為主成分的第二絕緣膜之步騾; (c) 在上述第二絕緣膜上,形成經圖案化的屏罩層之 步驟; (d) 在具有上述屏罩層之狀態下,於含有含氟蝕刻氣 體及氮氣之第一氣體氣氛中,對於上述第二絕緣膜施 以第一電漿蝕刻處理,以在上述第二絕緣膜上形成第 一凹部,而將上述第一絕緣膜露出之步驟。 42. 如申請專利範圍第4 1項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜係以氮化梦為主成分者。 43. 如申請專利範圍第41項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛之最大氣體成分係氬氣者。 44. 如申請專利範圍第4 3項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛含有氧氣者。 45. 如申請專利範圍第43項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛實質上不含氧氣者。 經濟部中央標隼局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 46. —種半導體積體電路裝置之製造方法,其特徵係在於該 裝置具有供配線層間相互接續之插件區域及埋入式配線 金屬係一次埋入之二元金屬鑲嵌型埋入式配線,該製造 方法包含: (a) 在半導體積體電路基板之第一主面上,形成供構 成上述埋入式配線的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成供構成上述埋入式配 線之以有機矽氧烷為主成分的第二絕緣膜之步驟; -10- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 六、申請專利範圍 (c)在上述第二絕緣膜上,形成經圖案化的屏罩芦 步驟; 胃 ⑷在具有上述屏罩層纟狀態了,於含有含氟蝕刻氣體及 氮氣之第一氣體氣氛中,將上述第一絕緣膜作為蝕刻 阻隔物,對於上述第二絕緣膜施以第一電漿蝕刻處 理,以在上述第二絕緣膜上形成第一凹部,而露出上 述第一絕緣膜之步驟。 48. 一 47·如申明專利範圍第4 6項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜係以氮化矽為主成分者。 種半導體積體電路裝置之製造方法,其特徵係在包含: (幻,半導體積體電路基板之第一主面上,形成以有 機矽氧烷為主成分的第一絕緣膜之步驟; (b)在上述第一絕緣膜上,形成經圖案化的之 步驟;及 尸⑷在具有上述屏罩層之狀態下,在含有含氟碳蚀刻 :體及氮氣且其中最大氣體成分為氬氣之氣體氣氛 、、丄對於上述第一絕緣膜施以電漿蝕刻處理,以在上 述第一絕緣膜上形成第一凹部之步驟。 經濟部中央標準局員工消費合作社印製 49.如申請專利範圍第48項之半導體積體電路裝置之製造方 法’其中該氣體氣氛含有氧氣者。 則:申^利第48項之半導體積體電路裝置之製造方 去,其中孩氣體氣氛實質上不含氧氣者。 51. 一種半導體積體電路裝置之製造方法,其特徵係在包含: ⑷在半導體積體電路基板之第—主面上形成以有
    /
    、申請專利範圍 經濟部中央標隼局員工消費合作社印裝 機矽氧烷為主成分的第一絕緣膜之步騾;及 (b)在上逑第一絕緣膜上,形成以較之上述第一有機 珍氧Ί ’含碳量低之第二有機矽氧烷為主成分的第二 絕緣膜之步驟; (c )在上述第二絕緣膜上,形成經圖案化的屏罩層之 步驟; (d)在具有上述屏罩層之狀態下,在含有含氟碳氣體 及氮氣之氣體氣氛中,以上述第一絕緣膜為蝕刻阻隔 物,對上述第二絕緣膜施以電漿蝕刻,以在上述第二 乡巴緣膜上形成第一凹部之步驟。 52·如申請專利範圍第5 1之半導體積體電路裝置之製造方 法,其中該第二絕緣膜與上述第一絕緣膜相較,其膜厚 較厚者。 Λ 53. 如申請專利範圍第5 2項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜與上述第二絕緣膜相較,碳含量 多50%以上者。 54. 如申請專利範圍第52項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜與上述第二絕緣膜枒較,碳含量 多100%以上者。 55· —種半導體積體電路裝置之製造方法,該裝置具有埋入 式配線,該製造方法包含: (a) 在半導體積體電路基板之第一主面上,形成構成 上述埋入式配線之層間絕緣膜,並以第一有機碎氧燒 為主成分的第一絕緣膜之步驟·; (b) 在上述弟一絕緣膜上’形成供構成上述埋入式配 12- 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
    、、’,較上述第一絕緣膜膜 一有機矽氧烷不同之第二 絕緣膜之步驟; (C)在上述第二絕緣膜上 步驟; 厚為薄,且以成分與上述第 有機碎氧燒為主成分的第二 ’形成經圖案化的屏罩層之 氣體)狀態下’於含有含氟碳银刻 刻阻隔物:對::=中:將上述第一絕緣膜作為银 ;上圯罘一絕緣膜施以電漿蝕刻處理, 以在上述第二絕緣膜上形成第一凹部。 申:專利圍第55項之半導體積體電路裝置之製造 該第二絕緣膜,與上述第—絕緣膜相較,其膜 57.:=利範圍第56項之半導體積體電路裝置之製造方 '其中孩弟-絕緣膜與上述第二絕緣 多50%以上者。 议&。I 从如申請專利範圍第56項之半導體積體電路裝置之製造方 :’其中該第一絕緣膜與上述第二絕 多100%以上者。 反口 I 59·如申請專利範圍第56項之半導體積體電路 法,該步驟⑷中’上述第二絕緣膜之相對上述I: 緣膜的蝕刻選擇比,係在4以上者。 & 6〇·如申請專利範圍第56項之半導體積體電路 法,該步驟(d)中,上述第二絕緣膜之相 之μ二=* 緣膜的蚀刻選擇比,係在5以上者。 ^ ^ 、巴 13 - '申請專利範圍 .裝置ίΪΓ 路裝置之製造方法,其特徵係在於該 用:配線層間相互接續之插件區域及埋入式配線 :成係-次埋入之二元金編型埋入式配 線,孩製造方法包含·· 成(:)„電路基板之第-主面上,形成供構 垸為二配線的層間絕緣膜,且以第-有機矽氧 虼為王成刀的罘一絕緣膜之步驟; ⑻在上述第一絕緣膜上,形成供構成上述埋入式配 ΐ有:二第一絕緣膜膜厚為薄,且以成分與上述第 絕:= 不同之第二有機嫩為主成分的第二 步(:在上述罘一絕緣膜上,形成經圖案化的屏軍層之 氣上;!屏罩層之狀態下,於含有含氣碳㈣ :姐及氮…—氣體氣氛中,將上述第-絕緣膜作 為,刻阻隔物,對於上述第二絕緣膜施以第-電漿姓 刻處理,以在上述第二絕緣膜上形成第一凹部 出上述第一絕緣膜之步驟。 62. -種半導體積體電路裝置之製造方法,其特徵係在包含·· (a)在半導體積體電路基板之第— 沈積形成堆積膜之步驟; 面上’以塗布或 ⑻在上述堆積膜上形成以有機$氧燒為 一絕緣膜之步驟; ^[步 (c)在上述第一絕緣膜上,形成細 步驟;及. ^圖案化的屏罩層之 -14 - 494479 ABCD 六、申請專利範圍 (d)在具有上述屏罩層之狀態下,在含有含氟碳蝕刻 氣體及氮氣之氣體氣氛中,以上述堆積膜作為蝕刻阻 隔膜’對於上述第一絕緣膜施以電漿蝕刻處理,以在 上述第一絕緣膜上形成第一凹部之步驟。 63·如申請專利範圍第62項之半導體積體電路裝置之製造方 法’其中該堆積膜係由塗布所形成之膜者。 64·如申請專利範圍第6 2項之半導體積體電路裝置之製造方 法’其中該堆積膜係由CVD所形成之膜者。 65.如申請專利範圍第63項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜之相對上述堆積膜之蝕刻選擇 比’係在4左右以上。 66·如申請專利範圍第64項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜之相對上述堆積膜之蝕刻選擇 比’係在4左右以上。 67. 如申請專利範圍第65項之半導體積體電路裝置之製造方 法,其中該氣體氣氛之最大氣體成分為氬氣者。 68. 如申請專利範圍第66項之半導體積體電路裝置之製造方 法,其中該氣體氣氛之最大氣體成分為氬氣者。 69. 如申請專利範圍第67項之半導體㈣電路mi心 法,其中該氣體氣氛實質上不含氧氣者。 70·如申請專利範圍第68項之半導體積體電路裝置之製造方 法,其中該氣體氣氛實質上不含氧氣者。 71.如申請專利範圍第62項之半導體積體電路裝置之製造方 法,其中進而具備: —-___ —____ -15- ( CNS ) A4^m ( 210X297^ ) -1 I- --- · (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製
    經濟部中夬榡隼局員工消費合作社印製 之(e)在j述步騾(a)之前,在上述半導體積體電路基板 合上述第一主面上,於較上述堆積膜為下層處,形成 馬燦點金屬膜之步驟。 72.:申請專利範圍第71項之半導體積體電路裝置之製造方 、$中該向熔點金屬膜係與上述半導體積體電路基 板<第一主面的矽表面區域電接續者。 如申叫專利範圍第72項之半導體積體電路裝置之製造方 去’其中該堆積膜係由塗布所形成之膜。 如申μ專利範圍第72項之半導體積體電路裝置之製造方 去’其中該堆積膜係由CVD所形成之膜。 、申叫專利範圍第73項之半導體積體電路裝置之製造方 去,其中該第一絕緣膜之相對上述堆積膜之蝕刻選擇 比’係在4左右以上。 76·如申請專利範圍第7 4項之半導體積體電路裝置之製造方 去,其中該第一絕緣膜之相對上述堆積膜之蝕刻選擇 比’係在4左右以上。 汝申叫專利範圍第75項之半導體積體電路裝置之製造方 法,其中該氣體氣氛之最大氣體氣氛為氬氣者。 78·如申請專利範圍第76項之半導體積體電路裝置之製造方 法,其中該氣體氣氛之最大氣體氣氛為氬氣者。 如申叫專利範圍第77項之半導體積體電路裝置之製造方 法’其中該氣體氣氛實質上不含氧氣者。 80.如申請專利範圍第7 8項之半導體積體電路裝置之製造方 法,其中該氣體氣氛實質上不含氧氣者。 (請先閱讀背面之注意事項再填寫本頁)
    "+^4/9 經濟部中央榡準局員工消費合作社印製 A8 B8 C8 ___________D8 、申請專利範圍 81·種半導體積體電路裝置之製造方法,該裝置具有埋入 式配線,該製造方法包含: (a) 在半導體積體電路基板之第一主面上,形成構成 上述埋入式配線的層間絕緣膜之以有機矽氧烷為主成 刀之一的第一絕緣膜之步驟; (b) 在上述第一絕緣膜上,形成經圖案化的屏罩芦 步驟; ^ 贿(c)在具有上述屏罩層之狀態下,於含有含氟蝕刻氣 體及氮氣之第一氣體氣氛中,對於上述第一絕緣膜施 以第一電漿蝕刻處理,以在上述第一絕緣膜上形成第 —凹部之步驟。 82·如申請專利範圍第81項之半導體積體電路裝置之製造方 法,其中該第一氣體氣氛含有氬氣者。 盯如申請專利範圍第82項之半導體積體電路裝置之製造方 法’其中該屏罩層含光阻膜者。 84.如申請專利範圍第83項之半導體積體電路裝置之製造方 法,其中該第一絕緣膜係由塗布所形成之膜者。 " 85·如申請專利範圍第84項之半導體積體電路裝置之製造方 法,其中蔹第一氣體氣氛之最大氣體成分係氬氣者。 86.如申請專利範圍第85項之半導體積體電路裝置之製告、 法’其中該第一氣體氣氛含有氧氣者。 ^万 87·如申請專利範圍第85項之半導體積體電路裝置之製告、 法,其中該第一氣體氣氛,實質上不含氧氣。 化方 ------ -17- 本紙張尺度標準(CNSy;娜( 210X297公釐)— (請先閎讀背面之注意事項再填寫本頁)
TW089112416A 1999-06-24 2000-06-23 Manufacturing method of semiconductor integrated circuit devices and semiconductor integrated circuit devices TW494479B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17808099 1999-06-24

Publications (1)

Publication Number Publication Date
TW494479B true TW494479B (en) 2002-07-11

Family

ID=16042283

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089112416A TW494479B (en) 1999-06-24 2000-06-23 Manufacturing method of semiconductor integrated circuit devices and semiconductor integrated circuit devices

Country Status (5)

Country Link
US (2) US7419902B2 (zh)
JP (3) JP4173307B2 (zh)
KR (1) KR100768363B1 (zh)
TW (1) TW494479B (zh)
WO (1) WO2000079586A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575730B (zh) * 2012-11-19 2017-03-21 三星顯示器有限公司 有機發光顯示裝置及其製造方法

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000079586A1 (fr) * 1999-06-24 2000-12-28 Hitachi, Ltd. Procede de production de dispositif a circuit integre semi-conducteur et dispositif a circuit integre semi-conducteur
JP4381526B2 (ja) 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
JP2002270586A (ja) * 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
JP4587604B2 (ja) * 2001-06-13 2010-11-24 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4891018B2 (ja) * 2001-08-07 2012-03-07 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
JP4176365B2 (ja) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
JP4431402B2 (ja) 2002-04-08 2010-03-17 東京エレクトロン株式会社 プラズマエッチング方法
JP3989763B2 (ja) * 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 半導体表示装置
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
KR100470390B1 (ko) * 2002-06-29 2005-02-07 주식회사 하이닉스반도체 에스램소자 제조시 다마신을 이용한 국부배선 스페이스최소화방법
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7247939B2 (en) * 2003-04-01 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filled semiconductor features with improved structural stability
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US20050200026A1 (en) * 2004-03-10 2005-09-15 Taiwan Semiconductor Manufacturing Co. Ltd. Contact structure for nanometer characteristic dimensions
JP5186086B2 (ja) * 2005-04-11 2013-04-17 アイメック デュアル・ダマシン・パターニング・アプローチ
JP4699172B2 (ja) * 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
US8395365B2 (en) * 2005-11-11 2013-03-12 Maxim Integrated Products, Inc. Non-linear PWM controller
US7923819B2 (en) * 2006-11-09 2011-04-12 National Iniversity Corporation Tohoku University Interlayer insulating film, wiring structure and electronic device and methods of manufacturing the same
KR100824637B1 (ko) * 2007-06-26 2008-04-25 주식회사 동부하이텍 Nor 플래쉬 디바이스 및 그의 제조 방법
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
US7936072B2 (en) * 2007-11-12 2011-05-03 Renesas Electronics Corporation Semiconductor device having dual damascene structure
WO2010065459A2 (en) * 2008-12-02 2010-06-10 Arizona Board Of Regents, For And On Behalf Of Arizona State University Method of etching organosiloxane dielectric material and semiconductor device thereof
US9601530B2 (en) 2008-12-02 2017-03-21 Arizona Board Of Regents, A Body Corporated Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Dual active layer semiconductor device and method of manufacturing the same
WO2010065457A2 (en) * 2008-12-02 2010-06-10 Arizona Board Of Regents, For And On Behalf Of Arizona State University Method of providing a semiconductor device with a dielectric layer and semiconductor device thereof
US9991311B2 (en) 2008-12-02 2018-06-05 Arizona Board Of Regents On Behalf Of Arizona State University Dual active layer semiconductor device and method of manufacturing the same
US9721825B2 (en) 2008-12-02 2017-08-01 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Method of providing a flexible semiconductor device and flexible semiconductor device thereof
TW201117262A (en) * 2009-05-29 2011-05-16 Univ Arizona Method of providing a flexible semiconductor device at high temperatures and flexible semiconductor device thereof
ES2346396B2 (es) * 2010-03-30 2011-09-08 Universidad Politécnica de Madrid Metodo de fabricacion de sustratos de circuitos integrados basados entecnologia cmos.
JP5671253B2 (ja) 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2012021196A2 (en) 2010-05-21 2012-02-16 Arizona Board Of Regents, For And On Behalf Of Arizona State University Method for manufacturing electronic devices and electronic devices thereof
WO2012021197A2 (en) 2010-05-21 2012-02-16 Arizona Board Of Regents, For And On Behalf Of Arizona State University Method of manufacturing electronic devices on both sides of a carrier substrate and electronic devices thereof
JP2012015411A (ja) * 2010-07-02 2012-01-19 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置
US8722530B2 (en) 2011-07-28 2014-05-13 Freescale Semiconductor, Inc. Method of making a die with recessed aluminum die pads
US9117941B2 (en) * 2011-09-02 2015-08-25 King Dragon International Inc. LED package and method of the same
US20150001570A1 (en) * 2011-09-02 2015-01-01 King Dragon International Inc. LED Package and Method of the Same
CN102779780B (zh) * 2012-07-25 2014-10-29 上海华力微电子有限公司 一种形成无负载效应大尺寸沟槽的方法
CN103839821B (zh) * 2012-11-27 2016-08-31 中芯国际集成电路制造(上海)有限公司 晶体管及其制造方法
US9190317B2 (en) * 2013-01-10 2015-11-17 Semiconductor Manufacturing International (Shanghai) Corporation Interconnection structures and fabrication method thereof
US9129956B2 (en) * 2013-12-11 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple-layer pins in memory MUX1 layout
US9368448B2 (en) * 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
US9502653B2 (en) * 2013-12-25 2016-11-22 Ignis Innovation Inc. Electrode contacts
WO2017034645A2 (en) 2015-06-09 2017-03-02 ARIZONA BOARD OF REGENTS, a body corporate for THE STATE OF ARIZONA for and on behalf of ARIZONA STATE UNIVERSITY Method of providing an electronic device and electronic device thereof
WO2015156891A2 (en) 2014-01-23 2015-10-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Method of providing a flexible semiconductor device and flexible semiconductor device thereof
US10381224B2 (en) 2014-01-23 2019-08-13 Arizona Board Of Regents On Behalf Of Arizona State University Method of providing an electronic device and electronic device thereof
CN106663640B (zh) 2014-05-13 2020-01-07 代表亚利桑那大学的亚利桑那校董会 提供电子器件的方法及其电子器件
JP6320282B2 (ja) 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
US9741742B2 (en) 2014-12-22 2017-08-22 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Deformable electronic device and methods of providing and using deformable electronic device
US10446582B2 (en) 2014-12-22 2019-10-15 Arizona Board Of Regents On Behalf Of Arizona State University Method of providing an imaging system and imaging system thereof
US10460984B2 (en) * 2015-04-15 2019-10-29 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating electrode and semiconductor device
JP2017092142A (ja) * 2015-11-05 2017-05-25 東京エレクトロン株式会社 被処理体を処理する方法
KR102487054B1 (ko) 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
JP6952629B2 (ja) * 2018-03-20 2021-10-20 株式会社東芝 半導体装置
KR20220015757A (ko) 2020-07-31 2022-02-08 삼성전자주식회사 반도체 패키지 및 그 제조 방법

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0219113Y2 (zh) * 1985-04-26 1990-05-28
JPS63128730A (ja) * 1986-11-19 1988-06-01 Fujitsu Ltd 多層配線方法
JPH0738388B2 (ja) * 1987-03-31 1995-04-26 日本電信電話株式会社 パタ−ン形成法
JPH0219113A (ja) * 1988-07-06 1990-01-23 Matsushita Electric Ind Co Ltd 自動製パン機
JPH05343632A (ja) 1989-12-27 1993-12-24 Motorola Inc Cmos素子と工程
US5268590A (en) 1989-12-27 1993-12-07 Motorola, Inc. CMOS device and process
US5047367A (en) 1990-06-08 1991-09-10 Intel Corporation Process for formation of a self aligned titanium nitride/cobalt silicide bilayer
JP3128811B2 (ja) * 1990-08-07 2001-01-29 セイコーエプソン株式会社 半導体装置の製造方法
JP3285934B2 (ja) 1991-07-16 2002-05-27 株式会社東芝 半導体装置の製造方法
JPH0590293A (ja) 1991-07-19 1993-04-09 Toshiba Corp 半導体装置およびその製造方法
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
JP2933766B2 (ja) * 1991-11-05 1999-08-16 松下電子工業株式会社 半導体装置およびその製造方法
TW209308B (en) 1992-03-02 1993-07-11 Digital Equipment Corp Self-aligned cobalt silicide on MOS integrated circuits
JPH06151872A (ja) * 1992-11-09 1994-05-31 Mitsubishi Kasei Corp Fet素子
JP3066886B2 (ja) 1992-12-24 2000-07-17 株式会社ジャパンエナジー 高純度コバルトスパッタリングターゲット
US5635426A (en) 1993-08-26 1997-06-03 Fujitsu Limited Method of making a semiconductor device having a silicide local interconnect
JP2950110B2 (ja) * 1993-09-24 1999-09-20 住友金属工業株式会社 プラズマエッチング方法
US5850096A (en) 1994-02-25 1998-12-15 Fujitsu Limited Enhanced semiconductor integrated circuit device with a memory array and a peripheral circuit
JPH07283306A (ja) * 1994-04-15 1995-10-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5652176A (en) 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
US5889330A (en) * 1995-03-10 1999-03-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device whose flattening resin film component has a controlled carbon atom content
JP3399154B2 (ja) 1995-05-22 2003-04-21 ソニー株式会社 積層絶縁膜のプラズマエッチング方法
US5780361A (en) 1995-06-23 1998-07-14 Nec Corporation Salicide process for selectively forming a monocobalt disilicide film on a silicon region
JPH0955425A (ja) * 1995-08-10 1997-02-25 Mitsubishi Electric Corp 多層Al配線構造を有する半導体装置およびその製造方法
US5549786A (en) 1995-08-29 1996-08-27 Advanced Micro Devices, Inc. Highly selective, highly uniform plasma etch process for spin-on glass
JP2751895B2 (ja) 1995-10-31 1998-05-18 日本電気株式会社 半導体装置の製造方法
JPH09306988A (ja) 1996-03-13 1997-11-28 Sony Corp 多層配線の形成方法
JP3460436B2 (ja) 1996-03-28 2003-10-27 ソニー株式会社 半導体装置の製造方法
JPH09293780A (ja) 1996-04-26 1997-11-11 Hitachi Ltd 配線形成方法
JPH09321024A (ja) * 1996-05-29 1997-12-12 Toshiba Corp 半導体装置の製造方法
JP3997494B2 (ja) * 1996-09-17 2007-10-24 ソニー株式会社 半導体装置
JPH10209273A (ja) 1997-01-16 1998-08-07 Fujitsu Ltd 半導体装置の製造方法
US6303488B1 (en) * 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
JP3959790B2 (ja) 1997-08-26 2007-08-15 ソニー株式会社 半導体装置の製造方法
JP3300643B2 (ja) 1997-09-09 2002-07-08 株式会社東芝 半導体装置の製造方法
US6844267B1 (en) * 1997-10-22 2005-01-18 Interuniversitair Micro-Elektronica Centrum Anisotropic etching of organic-containing insulating layers
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6117786A (en) 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
JP3974284B2 (ja) 1999-03-18 2007-09-12 株式会社東芝 半導体装置の製造方法
WO2000079586A1 (fr) * 1999-06-24 2000-12-28 Hitachi, Ltd. Procede de production de dispositif a circuit integre semi-conducteur et dispositif a circuit integre semi-conducteur
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI575730B (zh) * 2012-11-19 2017-03-21 三星顯示器有限公司 有機發光顯示裝置及其製造方法

Also Published As

Publication number Publication date
US7419902B2 (en) 2008-09-02
JP2008177596A (ja) 2008-07-31
JP4173307B2 (ja) 2008-10-29
KR20020020912A (ko) 2002-03-16
US20090011592A1 (en) 2009-01-08
KR100768363B1 (ko) 2007-10-17
JP2010062587A (ja) 2010-03-18
JP4881895B2 (ja) 2012-02-22
US20050186801A1 (en) 2005-08-25
JP5123924B2 (ja) 2013-01-23
WO2000079586A1 (fr) 2000-12-28
US7737023B2 (en) 2010-06-15

Similar Documents

Publication Publication Date Title
TW494479B (en) Manufacturing method of semiconductor integrated circuit devices and semiconductor integrated circuit devices
KR100810788B1 (ko) 듀얼 다마신 구조의 에칭 방법
TWI316739B (en) Methods of forming dual-damascene metal wiring patterns for integrated circuit devices and wiring patterns formed thereby
KR100413908B1 (ko) 반도체 물질 상의 유전체층 보호 방법, 상호 접속 구조체 형성 방법 및 이중 대머신 상호 접속 구조체 형성 방법
TW522519B (en) Method of manufacturing semiconductor device having silicon carbide film
US9484257B2 (en) Semiconductor devices and methods of manufacture thereof
US8614146B2 (en) Semiconductor device manufacture method and semiconductor device
TW394985B (en) A semiconductor device having an improved contact structure and a manufacturing method thereof
CN105762109B (zh) 半导体结构的形成方法
TW495872B (en) Semiconductor device formed with metal wiring on a wafer by chemical mechanical polishing, and method of manufacturing the same
TW200947554A (en) Reducing damage to low-k materials during photoresist stripping
TW201635437A (zh) 內連接結構及其製造方法
TW200411828A (en) Method of forming metal line in semiconductor device
TW201735254A (zh) 半導體結構與其製造方法
TWI263252B (en) Method for forming STI structures with controlled step height
TWI242247B (en) Method for manufacturing a semiconductor device
CN106952863B (zh) 半导体器件的形成方法
TW200524051A (en) Minimizing the loss of barrier materials during photoresist stripping
TW200414425A (en) Manufacturing method of semiconductor device
TWI247378B (en) Method for photoresist stripping and treatment of low-k dielectric material
CN208938962U (zh) 半导体结构
CN103904024A (zh) 形成半导体器件的双镶嵌结构的方法以及由其制造的半导体器件
KR20090068929A (ko) 반도체 소자의 금속 배선 제조 방법
KR101081851B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees