KR100810788B1 - 듀얼 다마신 구조의 에칭 방법 - Google Patents

듀얼 다마신 구조의 에칭 방법 Download PDF

Info

Publication number
KR100810788B1
KR100810788B1 KR1020037005351A KR20037005351A KR100810788B1 KR 100810788 B1 KR100810788 B1 KR 100810788B1 KR 1020037005351 A KR1020037005351 A KR 1020037005351A KR 20037005351 A KR20037005351 A KR 20037005351A KR 100810788 B1 KR100810788 B1 KR 100810788B1
Authority
KR
South Korea
Prior art keywords
hard mask
dielectric constant
low dielectric
layer
constant film
Prior art date
Application number
KR1020037005351A
Other languages
English (en)
Other versions
KR20030051720A (ko
Inventor
요시히데 기하라
신 오카모토
고이치로 이나자와
도모키 슈에마사
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20030051720A publication Critical patent/KR20030051720A/ko
Application granted granted Critical
Publication of KR100810788B1 publication Critical patent/KR100810788B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

적어도 1층 이상의 저 유전상수 막과 적어도 1층 이상의 하드 마스크를 사용하는 듀얼 다마신 구조의 에칭 방법에 있어서, 쇼울더 마모를 방지하기 위해서 상기 하드 마스크 상에, 최종적으로는 구조중에 잔류하지 않는 더미 막을 적어도 1층 이상 형성하는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법을 제공한다. 그에 따라, 하드 마스크의 쇼울더 마모를 억제 가능한 듀얼 다마신 구조의 에칭 방법이 가능해진다.

Description

듀얼 다마신 구조의 에칭 방법{METHOD OF ETCHING DUAL DAMASCENE STRUCTURE}
본 발명은 듀얼 다마신 구조의 에칭 방법에 관한 것이다.
최근, 반도체 집적 회로의 고집적화에 따라 다층 구조를 갖는 반도체 장치의 제조 기술이 급속하게 발전하고 있다. 다층 구조를 갖는 반도체 장치의 경우에는 수평 방향으로 전개되는 각 소자를 접속하는 트렌치 배선과 함께, 수직 방향으로 전개되는 각 소자를 접속하는 비아 홀 배선을 형성해야 한다. 이 때, 집적 회로의 고속화를 도모하기 위해서, 최근에는 배선 재료로서 저항이 낮고, 일렉트로마이그레이션 내성이 탁월한 구리를 사용하고 있다. 층간 절연 재료로는 석영의 비유전율이 4보다도 작은 저유전율 층간 절연막 재료인 저 유전상수(Low K) 재료, 예컨대 저유전율을 확보할 수 있는 SiLKTM(미국 다우 케미컬사 제품) 등의 유기 저 유전상수 재료가 있다. 또한 무기 저 유전상수 재료인 저유전율의 불소첨가 산화규소막(이하, FSG막이라고 지칭한다)도 사용된다.
또한 증기압이 높은 화합물을 형성하기 어려운 구리에 의해 배선 패턴을 형성할 때에는 금속 CMP 기술을 이용하여 배선을 임베딩(embedding)하는, 이른바 다마신 구조가 사용되었다. 또한, 최근에는 수평 방향으로 전개되는 각 소자를 접속하는 트렌치 배선과, 수직 방향으로 전개되는 각 소자를 접속하는 비아 배선을 동시에 만들어 넣는, 이른바 듀얼 다마신 구조의 반도체 소자가 보급되어 왔다. 이 듀얼 다마신 구조를 만들어 넣는 경우에는, 하드 마스크를 패턴 형성하고, 이 하드 마스크를 사용하여 절연층을 에칭하여 트렌치 및 비아를 형성한다.
도 7 및 도 8에 종래의 듀얼 다마신 구조를 형성하는 공정의 일례를 나타낸다. 도 7의 (a)에 도시한 바와 같이, 보호막인 SiN층(2)의 상부에는 층간 절연막층을 구성하는 무기 저 유전상수 막인 FSG층(4), 그 위에는 유기 저 유전상수 막인 SiLKTM층(6), 트렌치 및 비아를 형성하기 위한 하드 마스크층으로서 제 1 하드 마스크일 경우에는 SiO2층(8) 및 제 2 하드 마스크일 경우에는 실리콘산질화막(이하, SiON이라 지칭한다)층(10), 및 트렌치 형성용 패턴 형상을 갖는 포토레지스트(PR)층(12)이 형성된다.
우선, 도 7의 (b)에 도시한 바와 같이, 트렌치용 포토레지스트(PR)층(12)을 사용하여 소정의 리쏘그래피 공정에 의해, 제 2 하드 마스크인 SiON층(10)을 에칭하여 트렌치 패턴을 형성한다. 또한, 도 7의 (c)에 도시한 바와 같이, 비아 형성용 포토레지스트(PR)층(14)을 형성한다.
그 다음, 도 7의 (d)에 도시한 바와 같이, 비아용 포토레지스트(PR)층(14)을 사용하여 소정의 리쏘그래피 공정에 의해, 제 1 하드 마스크인 SiO2층(8)을 에칭하여 비아 패턴을 형성한다.
또한, 전 공정에서 형성된 비아용 하드 마스크를 사용하여 도 7의 (e)에 도시한 바와 같이, 유기 저 유전상수 막인 SiLKTM층(6)에 비아를 에칭함과 동시에 포토레지스트(PR)층(14)을 애싱하여 제거한다.
그 다음, 도 7의 (f)에 도시한 바와 같이, 제 2 하드 마스크인 SiON층(10)의 트렌치 패턴을 사용하여 제 1 하드 마스크인 SiO2층(8)에 트렌치 패턴을 형성하고, 추가로 SiLKTM층(6)에 형성된 비아를 비아 패턴으로서 이용하고, FSG층(4)에 비아를 형성한다.
그 다음, 도 8의 (a)에 도시한 바와 같이, 제 1 하드 마스크인 SiO2층(8) 및 제 2 하드 마스크인 SiON 층(10)의 트렌치 패턴을 사용하여 SiLKTM층(6)에 트렌치 패턴을 형성한다.
그 다음, 도 8의 (b)에 도시한 바와 같이, FSG층(4)의 비아 패턴을 이용하여 SiN층(2)을 에칭하고, 비아를 관통시킨다. 이상과 같이, 트렌치와 비아를 동시에 만들어 듀얼 다마신 구조가 완성된다. 또한 도시하지 않는 Cu 또는 Cu 함유 금속을 트렌치와 비아에 임베딩함으로써, 배선 공정이 완료된다.
그렇지만 상기에서 설명한 공정 중에서, 하드 마스크가 노출되는 공정에서는 하드 마스크의 쇼울더의 부분이 깎여 비스듬하게 되는, 이른바 쇼울더 마모(shoulder sag)가 발생하기 쉬웠다. 예컨대, 도 7의 (f)에 도시되는 공정에서는, 포토레지스트(PR)층(14)을 제거한 후 오버에칭에 의해 노출된 제 1 하드 마스크인 SiO2층(8) 및 제 2 하드 마스크인 SiON층(10)에 도 9에 나타낸 쇼울더 마모가 발생한다.
이 중, 제 2 하드 마스크인 SiON층(10)에 발생한 쇼울더 마모는 후 공정에서 개선되지 않고, 후 공정에서의 에칭으로 더욱 악화되는 경향이 있다. 하드 마스크에 쇼울더 마모가 생기면, CMP 등의 후 공정에서 패턴의 치밀한 부분에서 오버폴리싱(over polishing; 디싱(dishing)이라고도 지칭함)이 발생하여 배선 단락의 원인이 된다는 문제점이 있었다.
본 발명은 상기 문제점에 비추어 이루어진 것으로, 하드 마스크의 쇼울더 마모를 억제가능한 듀얼 다마신 구조의 에칭 방법을 제공하는 것을 목적으로 한다.
발명의 요약
상기 과제를 해결하기 위해서 본 발명의 제 1 양태에 따르면, 적어도 1층 이상의 저 유전상수 막과 적어도 1층 이상의 하드 마스크를 사용하는 듀얼 다마신 구조의 에칭 방법으로서, 쇼울더 마모를 방지하기 위해서 상기 하드 마스크 상에, 최종적으로는 구조중에 잔류하지 않는 더미(dummy)막을 적어도 1층 이상 형성하고, 하드 마스크와 더미 막이 각각 동일 재료로 이루어진 막을 가짐을 특징으로 하는 듀얼 다마신 구조의 에칭 방법이 제공된다. 이러한 구성에 따르면, 종래 하드 마스크가 노출되는 공정에서 본 발명에서는 하드 마스크 대신에 더미 막을 노출시켜 보호막이 되도록 함으로써, 하드 마스크의 쇼울더 마모를 억제할 수 있다. 추가로, 하드 마스크와 동일한 재료의 더미 막을 하드 마스크에 피복함으로써, 노출된 저 유전상수 막(예를 들면, SiLKTM 막)을 다시 피복할 수 있고, 따라서 저 유전상수 막을 예를 들어 SiLKTM 층으로 하는 경우, SiLK-레지스트의 믹싱 등이 발생하는 일은 없다. 또한 상기 더미 막은 최종적으로는 잔존하지 않기 때문에, 형성 구조에 영향을 미치는 일은 없다.
이 때, 저 유전상수 막은 2종류의 막으로 형성되고, 각각 다른 가스로 에칭되는 것이 바람직하고, 저 유전상수 막은 하측이 무기 저 유전상수 막이 되고, 상측이 유기 저 유전상수 막이 되도록 할 수도 있다. 무기 저 유전상수 막으로서는, 예컨대 FSG를 사용할 수 있고, 유기 저 유전상수 막으로서는 예컨대 SiLKTM을 사용할 수 있다.
또한 하드 마스크는 1층 또는 2층일 수도 있다. 이 때, 하드 마스크는 SiON인 것이 바람직하다. SiON은 반사 방지막으로서도 작용하기 때문에 리쏘그래피할 때 치수가 안정된다는 효과도 있다. 더미 막은 하드 마스크와 동일한 재료의 막을 포함하도록 할 수도 있고, 또한 SiON으로 할 수도 있다.
본 발명의 제 2 양태에 따르면, 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막, 제 1 하드 마스크 및 제 2 하드 마스크를 피에칭 대상으로 하여, 무기 저 유전상수 막층에 비아가 형성되고 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서, 제 2 하드 마스크에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정, 제 2 하드 마스크로 이루어진 트렌치 패턴에 제 3 하드 마스크를 피복하는 제 2 공정, 제 3 하드 마스크 및 제 1 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정, 제 3 하드 마스크 및 제 1 하드 마스크로 이루어진 비아 패턴에 의해 유기 저 유전상수 막에 비아를 형성하는 제 4 공정, 제 3 하드 마스크층의 적어도 일부를 제거하는 제 5 공정, 동일한 에칭 조건에 의해(즉, 제 6 공정중의 에칭 조건을 변경함이 없이), 제 3 하드 마스크층의 잔여물을 제거하면서 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 제 1 하드 마스크에 트렌치 패턴을 형성하고, 추가로 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 무기 저 유전상수 막에 비아를 형성하는 제 6 공정, 및 제 1 및 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 유기 저 유전상수 막에 트렌치를 형성하는 제 7 공정을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법이 제공된다. 이러한 구성에 따르면, 제 3 하드 마스크가 노출되는 공정에 있어서, 제 3 하드 마스크에 쇼울더 마모가 발생해도, 제 3 하드 마스크의 일부를 제거하여 쇼울더 마모가 없는 하드 마스크를 다시 표출할 수 있다. 또한 제 3 하드 마스크가 보호막으로서 작용하기 때문에, 제 2 하드 마스크의 쇼울더 마모를 억제할 수 있다.
이 때, 제 3 하드 마스크는 최종적으로는 구조 중에 잔류하지 않는 더미 막으로서 복수층 구조를 갖고, 제 5 공정에서는 제 3 하드 마스크의 상층이 제거되고, 제 6 공정에서는 제 3 하드 마스크의 하층이 제거되도록 하는 것이 바람직하다. 또한 제 3 하드 마스크의 상층은 SiON으로 이루어지고, 하층은 실리콘 산화막(이하, 산화물로 지칭한다)으로 이루어지도록 할 수도 있다. SiON은 반사 방지막으로서도 작용하기 때문에, 리쏘그래피를 수행하는 경우 치수가 안정된다는 효과도 있다.
또한 본 발명의 제 3 양태에 따르면, 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막, 제 1 하드 마스크 및 제 2 하드 마스크를 피에칭 대상으로 하여, 무기 저 유전상수 막층에 비아가 형성되고 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서, 제 2 하드 마스크에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정, 제 2 하드 마스크로 이루어진 트렌치 패턴에 제 3 하드 마스크를 피복하는 제 2 공정, 제 3 하드 마스크 및 제 1 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정, 제 3 하드 마스크 및 제 1 하드 마스크로 이루어진 비아 패턴에 의해 유기 저 유전상수 막에 비아를 형성하는 제 4 공정, 동일한 에칭 조건에 의해(즉, 제 5 공정중의 에칭 조건을 변경함이 없이), 제 3 하드 마스크층을 제거하면서 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 제 1 하드 마스크에 트렌치 패턴을 형성하고, 추가로 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 무기 저 유전상수 막에 비아를 형성하는 제 5 공정, 및 제 1 및 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 유기 저 유전상수 막에 트렌치를 형성하는 제 6 공정을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법이 제공된다. 이 때 제 3 하드 마스크는 최종적으로는 구조중에 잔류하지 않는 더미 막이며, 복수층 구조를 갖도록 구성할 수도 있다.
또한 본 발명의 제 4 양태에 따르면, 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막 및 제 1 하드 마스크를 피에칭 대상으로 하여, 무기 저 유전상수 막층에 비아가 형성되고 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서, 제 1 하드 마스크의 일부에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정, 제 1 하드 마스크의 트렌치 패턴에 제 2 하드 마스크를 피복하는 제 2 공정, 제 1 하드 마스크의 잔여 부분과 제 2 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정, 제 1 및 제 2 하드 마스크로 이루어진 비아 패턴에 의해 유기 저 유전상수 막에 비아를 형성하는 제 4 공정, 제 2 하드 마스크를 제거하면서 제 1 하드 마스크의 트렌치 패턴 부분을 에칭하여 트렌치 패턴을 형성하는 제 5 공정, 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 무기 저 유전상수 막에 비아를 형성하는 제 6 공정, 및 제 1 하드 마스크로 이루어진 트렌치 패턴에 의해 유기 저 유전상수 막에 트렌치를 형성하는 제 7 공정을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법이 제공된다. 이러한 구성에 따르면, 제 2 하드 마스크가 노출되는 공정에서, 제 2 하드 마스크에 쇼울더 마모가 발생해도, 제 2 하드 마스크를 제거하여 쇼울더 마모가 없는 하드 마스크를 다시 표출할 수 있고, 제 2 하드 마스크가 보호막으로서 작용하기 때문에, 제 1 하드 마스크의 쇼울더 마모를 억제할 수 있다.
또한 본 발명의 제 5 양태에 따르면, 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막 및 제 1 하드 마스크를 피에칭 대상으로 하여, 무기 저 유전상수 막층에 비아가 형성되고 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서, 제 1 하드 마스크의 일부에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정, 제 1 하드 마스크의 트렌치 패턴에 제 2 하드 마스크를 피복하는 제 2 공정, 제 1 하드 마스크의 잔여 부분과 제 2 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정, 제 1 및 제 2 하드 마스크로 이루어진 비아 패턴에 의해 유기 저 유전상수 막에 비아를 형성하는 제 4 공정, 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 무기 저 유전상수 막에 비아를 형성하는 제 5 공정, 제 2 하드 마스크를 제거하는 제 6 공정, 제 1 하드 마스크의 트렌치 패턴 부분을 에칭하여 트렌치 패턴을 형성하는 제 7 공정, 및 제 1 하드 마스크로 이루어진 트렌치 패턴에 의해 유기 저 유전상수 막에 트렌치를 형성하는 제 8 공정을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법이 제공된다.
이 때 제 1 및 제 2 하드 마스크는 동일 재료로 이루어지는 것이 바람직하다. 이러한 구성에 따르면, 제 1 하드 마스크의 일부만 에칭할 때 일부가 과에칭(over etching)되어 유기 저 유전상수 막이 노출되어도 재차 동일 재료의 제 2 하드 마스크로 덮이기 때문에, 믹싱이 발생하지 않는다는 이점이 있다. 또한 상기 재료는 SiON인 것이 바람직하다. SiON은 반사 방지막으로서도 작용하기 때문에, 리쏘그래피하는 경우 치수가 안정된다는 효과도 있다.
도 1은 본 발명을 적용가능한 에칭 장치의 개략적인 구성도이다.
도 2는 본 발명의 실시 양태에 따른 에칭 방법의 공정도이다.
도 3은 본 발명의 실시 양태에 따른 에칭 방법의 공정도이다.
도 4는 본 발명의 실시 양태에 따른 에칭 방법의 공정도이다.
도 5는 본 발명의 실시 양태에 따른 에칭 방법의 공정도이다.
도 6은 혼합 가스의 각각의 막에 대한 에칭 능력을 나타내는 도면이다.
도 7은 종래의 에칭 방법의 공정도이다.
도 8은 종래의 에칭 방법의 공정도이다.
도 9는 하드 마스크의 쇼울더 마모를 나타내는 부분 확대도이다.
부호의 설명
100 에칭 장치
102 처리용기
104 처리실
106 하부 전극
108 고압 직류 전원
110 정전 지퍼
112 포커스링
118 정합기
119 정합기
120 고주파 전원
121 고주파 전원
122 상부 전극
122a 가스 공급 천공
123 절연체
124 가스 공급관
126 가스 공급 시스템
132 개폐 밸브
134 유량 조정 밸브
136 가스 공급원
150 배기관
202 SiN층
204 FSG층
206 SiLKTM
208 SiO2층(제 1 하드 마스크층)
210 SiON층(제 2 하드 마스크층)
212 트렌치용 포토레지스트(PR)층
214 산화물층(제 3 하드 마스크 하층)
216 SiON층(제 3 하드 마스크 상층)
218 비아용 포토레지스트(PR)층
W 웨이퍼
이하에 첨부 도면을 참조하면서 본 발명에 관한 에칭 방법의 바람직한 실시 양태에 관해서 설명한다. 또한 이하의 설명 및 첨부 도면에 있어서 거의 동일한 기능 구성을 갖는 부재에 관해서는 동일한 부호를 붙임으로써 중복 설명을 생략한다.
우선, 도 1을 참조하면, 본 실시 양태에 관한 에칭 방법을 실시하기 위한 에칭 장치의 일례로서, 평행 평판형 플라즈마 에칭 장치의 개략적인 구성에 관해서 설명한다.
상기 도면의 에칭 장치(100)의 보안 접지된 처리 용기(102)내에는 처리실(104)이 형성되어 있고, 이 처리실(104) 내부에는 상하 움직임이 가능한 서셉터를 구성하는 하부 전극(106)이 배치되어 있다. 하부 전극(106)의 상부에는 고압 직류 전원(108)에 접속된 정전 지퍼(110)가 설치되어 있고, 이 정전 지퍼(110)의 상면에 피처리체, 예컨대 반도체 웨이퍼(이하, 웨이퍼라 지칭한다)(W)가 탑재된다. 또한, 하부 전극(106)상에 탑재된 웨이퍼(W)의 주위에는 절연성의 포커스링(112)이 배치된다. 또한, 하부 전극(106)에는 정합기(118)를 통해 고주파 전원(120)이 접속되어 있다.
또한 하부 전극(106)의 탑재면과 대향하는 처리실(104)의 천정부에는 다수의 가스 토출 천공(122a)을 구비한 상부 전극(122)이 배치된다. 상부 전극(122)과 처리 용기(102)와의 사이에는 절연체(123)가 개재되어 전기적으로 절연된다. 또한 상부 전극(122)에는 정합기(119)를 통해, 플라즈마 생성 고주파 전력을 출력하는 고주파 전원(121)이 접속되어 있다. 또한 가스 토출 천공(122a)에는 가스 공급관(124)이 접속되고, 추가로 그 가스 공급관(124)에는 공정 가스 공급 시스템(126)이 접속되어 있다. 공정 가스 공급 시스템(126)에는 개폐 밸브(132)와 유량 조정 밸브(134)를 통해 프로세스 가스를 공급하는 가스 공급원(136)이 접속되어 있다.
또한 처리 용기(102)의 하부에는 진공 흡입 기구(도시하지 않음)와 연통하는 배기관(150)이 접속되고, 상기 진공 흡입 기구의 작동에 의해 처리실(104) 내부를 소정의 감압 분위기로 유지할 수 있다.
그 다음, 상기 에칭 장치를 사용하여 본 발명의 제 1 실시 양태에 관한 듀얼 다마신 구조를 형성하는 공정에 대해서는, 도 2 및 도 3을 참조하면서 설명한다. 도 2의 (a)에 도시한 바와 같이, 보호막인 SiN층(202)(막 두께 50nm)의 상부에는 층간 절연막층을 구성하는 무기 저 유전상수 막인 FSG층(204)(막 두께 500nm), 그 위에는 유기 저 유전상수 막인 SiLKTM층(206)(막 두께 400nm), 추가로 트렌치 및 비아를 형성하기 위한 하드 마스크층으로서 제 1 하드 마스크일 경우에는 SiO2층(208)(막 두께 100nm) 및 제 2 하드 마스크일 경우에는 SiON층(210)(막 두께 100nm) 및 트렌치 형성용 패턴 형상을 갖는 포토레지스트(PR)층(212)이 형성되어 있다.
우선, 도 2의 (b)에 도시한 바와 같이(제 1 공정), 트렌치용 포토레지스트(PR)층(212)을 사용하여 소정의 리쏘그래피 공정에 의해 제 2 하드 마스크인 SiON층(210)을 에칭하여 트렌치 패턴을 형성한다. 이 때 에칭 조건으로서는 예컨대, 처리실 내부의 압력 분위기를 50mT로 하고, 전극에 인가되는 전력을 500W로 하고, 혼합 가스 CH2F2, O2 및 Ar의 유량을 각각 20sccm, 20sccm 및 100sccm으로 한다.
잔여 포토레지스트(PR)층(212)을 애싱하여 제거한 후, 도 2의 (c)에 도시한 바와 같이(제 2 공정), 제 2 하드 마스크로 이루어진 트렌치 패턴에 제 3 하드 마스크를 피복한다. 본 실시 양태에서, 제 3 하드 마스크는 하층인 산화물층(214)(막 두께 10nm미만) 및 상층인 SiON층(216)(막 두께 10nm미만)의 2층으로 이루어지는 박막이다. 여기에서, 산화물층(214) 및 SiON층(216)은 CVD(화학 증착법; Chemical Vapor Deposition)로 형성할 수 있지만, 스핀코팅에 의해 형성할 수도 있다. 스핀코팅의 경우는 점도가 매우 낮은 무기 SOG를 약 5000rpm으로 도포하여 400℃에서 경화시킴으로써 형성할 수 있다. 추가로 도 2의 (d)에 도시한 바와 같이, 그 위에 비아 형성용 포토레지스트(PR)층(218)을 형성한다.
그 다음, 도 2의 (e)에 도시한 바와 같이(제 3 공정), 비아용 포토레지스트(PR)층(218)을 사용하여 소정의 리쏘그래피 공정에 의해 제 3 하드 마스크인 산화물층(214), SiON층(216) 및 제 1 하드 마스크인 SiO2층(208)을 에칭하여 비아 패턴을 형성한다. 이때의 에칭 조건으로서는, 예컨대 처리실 내부의 압력 분위기를 40mT로 하고, 전극에 인가되는 전력을 1500W로 하고, 혼합 가스 CF4, O2 및 Ar의 유량을 각각 80sccm, 20sccm 및 160sccm으로 한다.
그리고, 제 3 공정에서 형성된 제 3 하드 마스크 및 제 1 하드 마스크로 이루어진 비아용 하드 마스크를 사용하여 도 2의 (f)에 도시한 바와 같이(제 4 공정) 유기 저 유전상수 막인 SiLKTM층(206)에 비아를 에칭함과 동시에 포토레지스트(PR)층(218)을 애싱하여 제거한다. 이때의 에칭 조건으로서는, 예컨대 처리실 내부의 압력 분위기를 100mT로 하고, 전극에 인가하는 전력을 1000W로 하고, 혼합 가스 N2 및 H2의 유량을 각각 100sccm 및 300sccm으로 한다. 이때, 포토레지스트(PR)층(218)이 제거된 후, 과에칭에 의해 노출된 제 3 하드 마스크에 쇼울더 마모가 발생하는 경우가 있다.
그래서, 도 3의 (a)에 도시한 바와 같이(제 5 공정), 쇼울더 마모가 발생해 버린 제 3 하드 마스크의 상층인 SiON층(216)을 등방성 에칭으로 제거한다. 이 에칭에서는 이온의 에너지는 될 수 있는 한 작게 하고, 또한 SiO2에 대해 높은 선택비가 수득되는 조건 또는 습윤 에칭을 선택한다. 상층인 SiON층(216)을 제거한 후, 하층인 산화물층(214)이 잔류하기 때문에, 쇼울더 마모가 없는 제 3 하드 마스크를 다시 표출할 수 있다.
그 다음, 도 3의 (b)에 도시한 바와 같이(제 6 공정), 동일한 에칭 조건에서(즉, 제 6 공정중의 에칭 조건을 변경함이 없이) 제 3 하드 마스크의 하층인 산화물층(214)을 제거하면서 제 2 하드 마스크인 SiON층(210)의 트렌치 패턴을 사용하여 제 1 하드 마스크인 SiO2층(208)에 트렌치 패턴을 형성하고, 추가로 SiLKTM층(206)에 형성된 비아를 비아 패턴으로서 이용하여 FSG층(204)에 비아를 형성한다. 이때의 에칭 조건으로서는, 예컨대, 처리실 내부의 압력 분위기를 45mT로 하고, 전극에 인가되는 전력을 1500W로 하고, 혼합 가스 C4F8, CO 및 Ar의 유량을 각각 12sccm, 225sccm 및 400sccm으로 한다.
그 다음, 도 3의 (c)에 도시한 바와 같이(제 7 공정), 제 1 하드 마스크인 SiO2층(2O8) 및 제 2 하드 마스크인 SiON층(210)의 트렌치 패턴을 사용하여 SiLKTM층(206)에 트렌치 패턴을 형성한다.
그 다음, 도 3의 (d)에 도시한 바와 같이, FSG층(204)의 비아 패턴을 이용하여, SiN층(202)을 에칭하여, 비아를 관통시킨다. 이 때의 에칭 조건으로서는 예컨대, 처리실 내부의 압력 분위기를 30mT로 하고, 전극에 인가되는 전력을 500W로 하고, 혼합 가스 CH2F2, O2 및 Ar의 유량을 각각 20sccm, 20sccm 및 100sccm으로 한다. 이상과 같이 하여 트렌치와 비아를 동시에 만들어 넣는 듀얼 다마신 구조가 완성된다. 또한 Cu 또는 Cu 함유 금속(도시하지 않음)을 트렌치와 비아에 임베딩함으로써 배선 공정이 완료된다.
또한, 에칭에 사용한 혼합 가스의 각각의 막에 대한 상대적인 에칭 능력을 도 6에 나타낸다. 도면 중의 ○, △, ×는 순서대로 에칭 능력이 높은 것, 중간인 것 및 낮은 것을 의미한다. ×는 화학적인 에칭 능력은 없지만, 물리적인 에칭 능력이 약간 있다.
이상 설명한 바와 같이, 제 3 하드 마스크를 최종적으로 구조 중에 잔류하지 않는 더미 막으로 하여 트렌치 패턴용 제 2 하드 마스크에 피복함으로써, 종래의 공정에서는 노출되었던 제 1 및 제 2 하드 마스크를 보호하고, 양 하드 마스크에 쇼울더 마모가 생기는 것을 억제할 수 있다. 또한 제 3 하드 마스크를 2층 구조로 함으로써, 공정 중에서 쇼울더 마모가 발생한 제 3 하드 마스크의 상층만을 제거하고, 다시 쇼울더 마모가 없는 하드 마스크를 표출할 수 있다. 이는 종래의 방법에 의해서라면 쇼울더 마모의 영향이 큰 경우인 트렌치폭 0.18μm 이하이고, 비아 직경이 0.13μm 이하인 경우에 한층 더 효과적이다. 추가로 제 3 하드 마스크의 상층인 SiON은 반사 방지막으로서도 작용하기 때문에, 리쏘그래피를 수행하는 경우 치수가 안정된다는 효과도 있다.
또한 변형예로서, 제 5 공정에서는 제 3 하드 마스크의 상층인 SiON층(216)을 제거하지 않거나 일부만 제거하고, 제 6 공정에서는 잔여 SiON층(216) 모두를 하층의 산화물층(214)과 동시에 제거하는 방법도 생각할 수 있다. SiON층(216)의 일부를 남기고 제 6 공정을 실시함으로써, 제 6 공정에서의 에칭시, 제 1 하드 마스크가 그다지 에칭되지 않는다. 따라서, 상기 효과에 추가로 SiLKTM층(206)에 형성된 비아의 쇼울더 마모를 억제하는 효과도 얻어진다.
이하에서 도 4 및 도 5를 참조하면서, 도 1의 에칭 장치를 사용하여 본 발명의 제 2 실시 양태에 관한 듀얼 다마신 구조를 형성하는 공정에 관해서 설명한다. 도 4의 (a)에 도시한 바와 같이, 보호막인 SiN층(202)(막 두께 50nm)의 상부에는 층간 절연막층을 구성하는 무기 저 유전상수 막인 FSG층(204)(막 두께 500nm), 그 위에는 유기 저 유전상수 막인 SiLKTM층(206)(막 두께 400nm), 추가로 트렌치 및 비아를 형성하기 위한 하드 마스크층으로서 제 1 하드 마스크일 경우에는 SiON층(308)(막 두께 200nm) 및 트렌치 형성용의 패턴 형상을 갖는 포토레지스트(PR)층(212)이 형성된다.
우선, 도 4의 (b)에 도시한 바와 같이(제 1 공정), 트렌치용 포토레지스트(PR)층(212)을 사용하여 소정의 리쏘그래피 공정에 의해 제 1 하드 마스크인 SiON층(308)의 일부를 에칭하여 트렌치 패턴을 형성한다. 이때의 에칭 조건으로서는, 예컨대 처리실 내부의 압력 분위기를 50mT로 하고, 전극에 인가되는 전력을 500W로 하고, 혼합 가스 CH2F2, N2 및 Ar의 유량을 각각 20sccm, 100sccm 및 100sccm으로 한다.
잔여 포토레지스트(PR)층(214)을 애싱하여 제거한 후, 도 4의 (c)에 도시한 바와 같이(제 2 공정), 제 1 하드 마스크로 이루어진 트렌치 패턴에 제 2 하드 마스크를 피복한다. 본 실시 양태에서, 제 2 하드 마스크는 SiON층(316)(막 두께 10nm 미만)으로 이루어지는 박막이다. 추가로, 도 4의 (d)에 도시한 바와 같이 그 위에 비아 형성용 포토레지스트(PR)층(218)을 형성한다. 제 1 공정에 있어서 제 1 하드 마스크의 일부가 과에칭되어 SiLKTM층(206)이 노출되어도, 상기 제 2 공정에 있어서 제 1 하드 마스크와 동일 재료인 제 2 하드 마스크를 제 1 하드 마스크에 피복함으로써 노출된 SiLKTM층(206)을 다시 덮을 수 있다. 따라서 SiLK-레지스트의 믹싱이 발생하지 않는다는 이점이 있다.
그 다음, 도 4의 (e)에 도시한 바와 같이(제 3 공정), 비아용 포토레지스트(PR)층(218)을 사용하여 소정의 리쏘그래피 공정에 의해, 제 2 하드 마스크인 SiON층(316) 및 제 1 하드 마스크인 SiON층(308)의 잔여 부분을 에칭하여 비아 패턴을 형성한다.
또한, 제 3 공정에서 형성된 제 2 하드 마스크 및 제 1 하드 마스크로 이루어진 비아용 하드 마스크를 사용하여 도 4의 (f)에 도시한 바와 같이(제 4 공정), 유기 저 유전상수 막인 SiLKTM층(206)에 비아를 에칭함과 동시에 포토레지스트(PR)층(218)을 애싱하여 제거한다. 이때의 에칭 조건으로서는, 예컨대 처리실 내부의 압력 분위기를 100mT로 하고, 전극에 인가되는 전력을 1000W로 하고, 혼합 가스 N2 및 H2의 유량을 각각 100sccm 및 300sccm으로 한다. 이 때 포토레지스트(PR)층(218)이 제거된 후, 과에칭에 의해 노출된 제 2 하드 마스크에 쇼울더 마모가 발생하는 경우가 있다.
그래서, 도 5의 (a)에 도시한 바와 같이(제 5 공정), 쇼울더 마모가 발생한 제 2 하드 마스크인 SiON층(316)을 제거한다. 이 공정에서는 제 2 하드 마스크인 SiON층(316)의 제거와 동시에 제 1 하드 마스크인 SiON층(308)의 비아 패턴 부분을 에칭하여 트렌치 패턴을 형성한다.
그 다음, 도 5의 (b)에 도시한 바와 같이(제 6 공정), 유기 저 유전상수 막인 SiLKTM층(206)에 형성된 비아를 비아 패턴으로서 이용하여 FSG층(204)에 비아를 형성한다. 이때의 에칭 조건으로서는, 예컨대 처리실 내부의 압력 분위기를 45mT로 하고, 전극에 인가되는 전력을 1500W로 하고, 혼합 가스 C4F8, CO 및 Ar의 유량을 각각 12sccm, 225sccm 및 400sccm으로 한다.
그 다음, 도 5의 (c)에 도시한 바와 같이(제 7 공정), 제 1 하드 마스크인 SiON층(308)의 트렌치 패턴을 사용하여 SiLKTM층(206)에 트렌치 패턴을 형성한다.
그 다음, 도 5의 (d)에 도시한 바와 같이, FSG층(204)의 비아 패턴을 이용하여 SiN층(202)을 에칭하여 비아를 관통시킨다. 이때의 에칭 조건으로서는, 예컨대 처리실 내부의 압력 분위기를 30mT로 하고, 전극에 인가되는 전력을 500W로 하고, 혼합 가스 CH2F2, O2 및 Ar의 유량을 각각 20sccm, 20sccm 및 100sccm으로 한다. 이상과 같이 하여, 트렌치와 비아를 동시에 제작하는 듀얼 다마신 구조가 완성된다. 추가로, 도시되지 않은 Cu 또는 Cu 함유 금속을 트렌치와 비아에 임베딩함으로써 배선 공정이 완료된다.
이상에서 설명한 바와 같이, 본 실시 양태에 따르면 제 1 하드 마스크인 트렌치 패턴에 제 2 하드 마스크를 최종적으로는 구조적으로 잔류하지 않는 더미 막으로서 피복한다. 따라서 공정의 도중에서, 제 2 하드 마스크는 제 1 하드 마스크의 보호막으로서 작용하여, 제 1 하드 마스크에 쇼울더 마모가 발생하는 것을 억제할 수 있다. 이것은 종래의 경우라면 쇼울더 마모의 영향이 큰 트렌치 폭이 0.18μm 이하이고, 비아 직경이 0.13μm 이하인 경우에 한층 더 효과적이다. 또한, 제 1 및 제 2 하드 마스크를 동일 재료로 사용함으로써, 제 1 공정에서 제 1 하드 마스크의 일부가 과에칭되어 SiLKTM층(206)이 노출되어도 SiLK-레지스트의 믹싱이 발생하지 않는다는 이점이 있다. 따라서, 제 2 하드 마스크인 SiON은 반사 방지막으로서도 작용하기 때문에, 리쏘그래피를 수행하는 경우 치수가 안정된다는 효과도 있다.
또한 변형예로서 다음과 같은 방법도 생각할 수 있다. 상기 제 5 공정에서 제 2 하드 마스크인 SiON층(316)을 제거하지 않고, 또한 제 6 공정에서의 FSG층(204)의 비아 형성용 에칭시에도 SiON층(316)을 남겨 둔다. 그리고, SiN층(202)을 에칭하여 비아를 관통시킨다. 에칭 종료 후, 등방성 에칭으로 SiON층(316)을 제거하고, 그 후 이방성 엣지백을 실시하고, 트렌치부에 잔류하는 SiON층(308)을 제거한다. 이 경우, 최하층인 SiN층(202)의 에칭을 SiON층(308)이 트렌치부에 잔류하는 단계에서 실시할 수 있고, SiON은 SiLKTM 보다도 SiN 엣지에 대한 선택비가 높기 때문에, 상기 방법에서의 도 5의 (c)로부터 도 5의 (d)일 때 발생하는 비아 개방형 천공 상부의 쇼울더 마모가 억제된다는 이점이 있다.
이상, 첨부 도면을 참조하면서 본 실시 양태에 관한 바람직한 실시 양태에 관해서 설명했지만, 본 발명은 이러한 예로 한정되지 않는다. 당업자라면 특허 청구의 범위에 기재된 기술적 범위의 범주내에서 각종 변경예 또는 수정예에 상도할 수 있는 것은 명백하고, 그것에 관해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예컨대, 본 실시 양태에 관한 에칭 방법을 실시하기 위한 장치로서 도 1에 나타낸 플라즈마 에칭 장치를 예를 들어 설명했지만, 본 발명은 이러한 예로 한정되지 않는다. 예컨대 평행 평판형 에칭 장치 이외에도, 각종 플라즈마 근원을 이용한 에칭 장치를 적용하는 것이 가능함은 말할 필요도 없다.
또한, 상기 실시 양태에서는 유기 저 유전상수 막으로서 SiLKTM을 사용하고 무기 저 유전상수 막으로서 FSG를 사용한 예를 들어 설명했지만, 본 발명은 이러한 예로 한정되지 않는다. 유기 저 유전상수 막으로서는 폴리불화나프탈렌폴리머막, 말레이미드벤조시클로부텐폴리머막, 폴리퍼플루오로시클로부텐아로마틱에테르막, 폴리이미드막, 폴리알릴에테르막, 파릴렌막, 수소화다이아몬드막 또는 폴리테트라플루오로에틸렌에도 적용할 수 있다. 또한, 유기 고분자막 중에 실리카가 일부 치환 첨가되어 있는 디비닐실록산벤조시클로부텐폴리머막, 실리카첨가 폴리이미드막 등에도 적용할 수 있다. 무기 저 유전상수 막으로서는 SiOC막(탄소첨가 산화규소막), HSQ막(수소첨가 산화규소막) 등에도 적용할 수 있다.
또한, 상기 제 1 실시 양태에서는 유기 저 유전상수 막 위에 형성되는 마스크층으로서, 제 1 하드 마스크인 SiO2 및 제 2 하드 마스크인 SiON을 예로 들어 설명했지만, 본 발명은 이러한 예로 한정되지 않는다. 유기 저 유전상수 막의 위에 형성되는 마스크층, 이른바 하드 마스크로서는 제 1 하드 마스크로서 실리콘 산화막(SiO2) 이외에 실리콘 질화막(SiN), 실리콘 카바이드(SiC), 다공성 실리콘 질화막, 실리콘 산질화막(SiON), 알루미나이트라이드(AlN) 또는 실리카막과 같은 절연막 외에 티탄나이트라이드(TiN), 탄탈나이트라이드(TaN)와 같은 금속 질화막 및 티탄카바이드막(TiC)을 사용할 수 있다. 단, TiN막 및 TaN막과 같은 도전성 질화막을 사용한 경우에는 이러한 배선홈과 비아에 구리를 채워넣은 후, 도전성 질화막을 화학 기계 연마법 및 드라이 에칭법으로 제거해야 한다. 또한, 제 2 하드 마스크로서 SiON 이외에, 실리콘 산화막(SiO2), 실리콘 질화막(SiN) 다공성 실리카막, 실리콘 카바이드막과 같은 절연막 및 티탄나이트라이드(TiN), 탄탈나이트라이드(TaN)와 같은 금속 질화막 및 티탄카바이드막(TiC)을 사용할 수 있다. 이러한 하드 마스크의 선정에 있어서 중요한 점은, 제 1 하드 마스크와 제 2 하드 마스크의 재료가 다르다는 점이다.
또한, 상기 두 실시 양태에 있어서는, 제 7 공정에서 SiLKTM층(206)에 트렌치 패턴을 형성한 후 SiN층(202)에 비아를 관통시킨 경우를 예로 들어 설명했지만, 본 발명은 이러한 예로 한정되지 않는다. 먼저 SiN층(202)에 비아를 관통시킨 후, SiLKTM층(206)에 트렌치 패턴을 형성할 수도 있다. 또한, 에칭 조건, 막 두께 등도 상기 예로 한정되는 것이 아니다.
이상 설명한 바와 같이, 본 발명에 따른 구성에 따르면, 듀얼 다마신 구조 형성시에 종래의 트렌치 형성용 하드 마스크 상에 보호용 박막인 하드 마스크(더미 막)를 피복하고, 이후에 제거한다. 이에 의해, 공정 중에서 종래의 트렌치 형성용 하드 마스크가 노출되어 쇼울더 마모가 생기는 것을 억제하는 효과가 얻어진다. 그 결과, 하드 마스크의 쇼울더부는 이상적인 직각에 가까운 형상으로 형성되어, 원하는 배선 구조를 얻을 수 있다.

Claims (26)

  1. 적어도 1층 이상의 저 유전상수(Low K) 막 및 적어도 1층 이상의 하드 마스크를 사용하는 듀얼 다마신 구조의 에칭 방법으로서,
    쇼울더 마모를 방지하기 위해서 상기 하드 마스크 상에, 최종적으로는 상기 듀얼 다마신 구조중에 잔류하지 않는 더미(dummy)막을 적어도 1층 이상 형성하고, 상기 하드 마스크와 상기 더미막은 각각 동일 재료로 이루어진 막을 가짐을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  2. 제 1 항에 있어서,
    상기 저 유전상수 막은 2종류의 막으로 형성되어 각각 다른 가스로 에칭되는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  3. 제 2 항에 있어서,
    상기 저 유전상수 막은 하측이 무기 저 유전상수 막이고, 상측이 유기 저 유전상수 막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  4. 제 1 항에 있어서,
    상기 하드 마스크는 1층으로 하는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  5. 제 4 항에 있어서,
    상기 하드 마스크는 실리콘 산질화막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  6. 제 5 항에 있어서,
    상기 더미 막은 실리콘 산질화막으로 하는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  7. 제 1 항에 있어서,
    상기 하드 마스크는 2층으로 하는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  8. 제 7 항에 있어서,
    상기 하드 마스크중 적어도 1층은 실리콘 산질화막을 포함하는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  9. 제 8 항에 있어서,
    상기 더미 막은 실리콘 산질화막으로 하는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  10. 삭제
  11. 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막, 제 1 하드 마스크 및 제 2 하드 마스크를 피에칭 대상으로 하여, 상기 무기 저 유전상수 막층에 비아가 형성되고 상기 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서,
    상기 제 2 하드 마스크에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정,
    제 2 하드 마스크로 이루어진 트렌치 패턴에 제 3 하드 마스크를 피복하는 제 2 공정,
    상기 제 3 하드 마스크 및 상기 제 1 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정,
    상기 제 3 하드 마스크 및 상기 제 1 하드 마스크로 이루어진 비아 패턴에 의해 유기 저 유전상수 막에 비아를 형성하는 제 4 공정,
    상기 제 3 하드 마스크층의 적어도 일부를 제거하는 제 5 공정,
    제 6 공정중의 에칭 조건을 변경함이 없이, 상기 제 3 하드 마스크층의 잔여물을 제거하면서 상기 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 상기 제 1 하드 마스크에 트렌치 패턴을 형성하고, 추가로 상기 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 상기 무기 저 유전상수 막에 비아를 형성하는 제 6 공정, 및
    상기 제 1 및 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 상기 유기 저 유전상수 막에 트렌치를 형성하는 제 7 공정
    을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  12. 제 11 항에 있어서,
    제 3 하드 마스크는, 최종적으로는 상기 듀얼 다마신 구조중에 잔류하지 않는 더미 막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  13. 제 12 항에 있어서,
    상기 제 3 하드 마스크는 복수층 구조를 갖고 있는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  14. 제 13 항에 있어서,
    상기 제 5 공정에서는 제 3 하드 마스크의 상층이 제거되고, 상기 제 6 공정에서는 제 3 하드 마스크의 하층이 제거되는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  15. 제 14 항에 있어서,
    상기 제 3 하드 마스크의 상층은 실리콘 산질화막으로 이루어지고, 하층은 실리콘 산화막으로 이루어지는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  16. 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막, 제 1 하드 마스크 및 제 2 하드 마스크를 피에칭 대상으로 하여, 상기 무기 저 유전상수 막층에 비아가 형성되고 상기 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서,
    제 2 하드 마스크에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정,
    상기 제 2 하드 마스크로 이루어진 트렌치 패턴에 제 3 하드 마스크를 피복하는 제 2 공정,
    상기 제 3 하드 마스크 및 상기 제 1 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정,
    상기 제 3 하드 마스크 및 상기 제 1 하드 마스크로 이루어진 비아 패턴에 의해 유기 저 유전상수 막에 비아를 형성하는 제 4 공정,
    제 5 공정중의 에칭 조건을 변경함이 없이, 상기 제 3 하드 마스크층을 제거하면서 상기 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 상기 제 1 하드 마스크에 트렌치 패턴을 형성하고, 추가로 상기 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 상기 무기 저 유전상수 막에 비아를 형성하는 제 5 공정, 및
    상기 제 1 및 제 2 하드 마스크로 이루어진 트렌치 패턴에 의해 상기 유기 저 유전상수 막에 트렌치를 형성하는 제 6 공정
    을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  17. 제 16 항에 있어서,
    제 3 하드 마스크는, 최종적으로는 상기 듀얼 다마신 구조중에 잔류하지 않는 더미 막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  18. 제 17 항에 있어서,
    상기 제 3 하드 마스크는 복수층 구조를 갖고 있는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  19. 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막 및 제 1 하드 마스크를 피에칭 대상으로 하여, 상기 무기 저 유전상수 막층에 비아가 형성되고 상기 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서,
    상기 제 1 하드 마스크의 일부에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정,
    상기 제 1 하드 마스크의 트렌치 패턴에 제 2 하드 마스크를 피복하는 제 2 공정,
    상기 제 1 하드 마스크의 잔여 부분과 제 2 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정,
    상기 제 1 및 제 2 하드 마스크로 이루어진 비아 패턴에 의해 상기 유기 저 유전상수 막에 비아를 형성하는 제 4 공정,
    상기 제 2 하드 마스크를 제거하면서 상기 제 1 하드 마스크의 트렌치 패턴 부분을 에칭하여 트렌치 패턴을 형성하는 제 5 공정,
    상기 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 상기 무기 저 유전상수 막에 비아를 형성하는 제 6 공정, 및
    상기 제 1 하드 마스크로 이루어진 트렌치 패턴에 의해 상기 유기 저 유전상수 막에 트렌치를 형성하는 제 7 공정
    을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  20. 제 19 항에 있어서,
    제 2 하드 마스크는, 최종적으로는 상기 듀얼 다마신 구조중에 잔류하지 않는 더미 막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  21. 제 20 항에 있어서,
    상기 제 1 및 제 2 하드 마스크는 동일 재료로 이루어지는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  22. 제 21 항에 있어서,
    상기 재료는 실리콘 산질화막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  23. 하층 배선층 상에 순차적으로 적층된 무기 저 유전상수 막, 유기 저 유전상수 막 및 제 1 하드 마스크를 피에칭 대상으로 하여, 상기 무기 저 유전상수 막층에 비아가 형성되고 상기 유기 저 유전상수 막층에 트렌치가 형성되는 듀얼 다마신 구조의 에칭 방법으로서,
    상기 제 1 하드 마스크의 일부에 리쏘그래피 공정에 의해 트렌치 패턴을 형성하는 제 1 공정,
    상기 제 1 하드 마스크의 트렌치 패턴에 제 2 하드 마스크를 피복하는 제 2 공정,
    상기 제 1 하드 마스크의 잔여 부분과 제 2 하드 마스크에 리쏘그래피 공정에 의해 비아 패턴을 형성하는 제 3 공정,
    상기 제 1 및 제 2 하드 마스크로 이루어진 비아 패턴에 의해 상기 유기 저 유전상수 막에 비아를 형성하는 제 4 공정,
    상기 유기 저 유전상수 막에 형성된 비아를 비아 패턴으로서 이용하여 상기 무기 저 유전상수 막에 비아를 형성하는 제 5 공정,
    상기 제 2 하드 마스크를 제거하는 제 6 공정,
    상기 제 1 하드 마스크의 트렌치 패턴 부분을 에칭하여 트렌치 패턴을 형성하는 제 7 공정, 및
    상기 제 1 하드 마스크로 이루어진 트렌치 패턴에 의해 상기 유기 저 유전상수 막에 트렌치를 형성하는 제 8 공정
    을 포함함을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  24. 제 23 항에 있어서,
    제 2 하드 마스크는, 최종적으로는 상기 듀얼 다마신 구조중에 잔류하지 않는 더미 막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  25. 제 24 항에 있어서,
    상기 제 1 및 제 2 하드 마스크는 동일 재료로 이루어지는 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
  26. 제 25 항에 있어서,
    상기 재료는 실리콘 산질화막인 것을 특징으로 하는 듀얼 다마신 구조의 에칭 방법.
KR1020037005351A 2000-10-18 2001-10-01 듀얼 다마신 구조의 에칭 방법 KR100810788B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000317661A JP4850332B2 (ja) 2000-10-18 2000-10-18 デュアルダマシン構造のエッチング方法
JPJP-P-2000-00317661 2000-10-18
PCT/JP2001/008623 WO2002033747A1 (fr) 2000-10-18 2001-10-01 Procede d'attaque de structure en double damasquinage

Publications (2)

Publication Number Publication Date
KR20030051720A KR20030051720A (ko) 2003-06-25
KR100810788B1 true KR100810788B1 (ko) 2008-03-06

Family

ID=18796413

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037005351A KR100810788B1 (ko) 2000-10-18 2001-10-01 듀얼 다마신 구조의 에칭 방법

Country Status (6)

Country Link
US (1) US7326650B2 (ko)
EP (1) EP1333483A4 (ko)
JP (1) JP4850332B2 (ko)
KR (1) KR100810788B1 (ko)
TW (1) TW522490B (ko)
WO (1) WO2002033747A1 (ko)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5023413B2 (ja) * 2001-05-11 2012-09-12 ソニー株式会社 半導体装置およびその製造方法
JP3944838B2 (ja) 2002-05-08 2007-07-18 富士通株式会社 半導体装置及びその製造方法
JP2004055781A (ja) * 2002-07-19 2004-02-19 Sony Corp 半導体装置の製造方法
JP4295730B2 (ja) 2003-04-28 2009-07-15 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7091612B2 (en) 2003-10-14 2006-08-15 Infineon Technologies Ag Dual damascene structure and method
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
KR100519250B1 (ko) 2003-12-04 2005-10-06 삼성전자주식회사 반도체 소자의 금속배선용 패턴 형성방법
JP2005203672A (ja) 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
JP2006179515A (ja) 2004-12-20 2006-07-06 Oki Electric Ind Co Ltd 半導体素子の製造方法、及びエッチング方法
KR100695431B1 (ko) * 2005-06-22 2007-03-15 주식회사 하이닉스반도체 반도체 소자의 컨택홀 형성방법
KR100674982B1 (ko) * 2005-07-06 2007-01-29 삼성전자주식회사 반도체 소자의 제조방법
US7381343B2 (en) * 2005-07-08 2008-06-03 International Business Machines Corporation Hard mask structure for patterning of materials
KR100739530B1 (ko) * 2006-06-07 2007-07-13 삼성전자주식회사 큰 종횡비의 콘택홀을 갖는 반도체장치의 제조 방법
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
JP2008089817A (ja) 2006-09-29 2008-04-17 Oki Electric Ind Co Ltd フォトマスク及びそれを用いた半導体素子の配線パターン形成方法
CN101784533B (zh) * 2007-08-22 2013-08-21 艾博特股份有限两合公司 4-苄基氨基喹啉、含有它们的药物组合物和它们在治疗中的用途
JP2009059903A (ja) * 2007-08-31 2009-03-19 Sharp Corp 半導体装置の製造方法
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
JP5554319B2 (ja) 2008-04-01 2014-07-23 アボット ゲーエムベーハー ウント カンパニー カーゲー テトラヒドロイソキノリン類、それを含む医薬組成物および治療におけるそれの使用
AR075442A1 (es) * 2009-02-16 2011-03-30 Abbott Gmbh & Co Kg Derivados de aminotetralina, composiciones farmaceuticas que las contienen y sus usos en terapia
TW201038569A (en) 2009-02-16 2010-11-01 Abbott Gmbh & Co Kg Heterocyclic compounds, pharmaceutical compositions containing them, and their use in therapy
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP5600447B2 (ja) * 2010-03-05 2014-10-01 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8846743B2 (en) 2010-08-13 2014-09-30 Abbott Laboratories Aminoindane derivatives, pharmaceutical compositions containing them, and their use in therapy
US8877794B2 (en) 2010-08-13 2014-11-04 Abbott Laboratories Phenalkylamine derivatives, pharmaceutical compositions containing them, and their use in therapy
US9045459B2 (en) 2010-08-13 2015-06-02 AbbVie Deutschland GmbH & Co. KG Phenalkylamine derivatives, pharmaceutical compositions containing them, and their use in therapy
US9051280B2 (en) 2010-08-13 2015-06-09 AbbVie Deutschland GmbH & Co. KG Tetraline and indane derivatives, pharmaceutical compositions containing them, and their use in therapy
US8883839B2 (en) 2010-08-13 2014-11-11 Abbott Laboratories Tetraline and indane derivatives, pharmaceutical compositions containing them, and their use in therapy
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US9309200B2 (en) 2011-05-12 2016-04-12 AbbVie Deutschland GmbH & Co. KG Benzazepine derivatives, pharmaceutical compositions containing them, and their use in therapy
US8853196B2 (en) 2011-08-05 2014-10-07 AbbVie Deutschland GmbH & Co. KG Aminochromane, aminothiochromane and amino-1,2,3,4-tetrahydroquinoline derivatives, pharmaceutical compositions containing them, and their use in therapy
US8846741B2 (en) 2011-11-18 2014-09-30 Abbvie Inc. N-substituted aminobenzocycloheptene, aminotetraline, aminoindane and phenalkylamine derivatives, pharmaceutical compositions containing them, and their use in therapy
US9365512B2 (en) 2012-02-13 2016-06-14 AbbVie Deutschland GmbH & Co. KG Isoindoline derivatives, pharmaceutical compositions containing them, and their use in therapy
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US9650334B2 (en) 2013-03-15 2017-05-16 Abbvie Inc. Pyrrolidine derivatives, pharmaceutical compositions containing them, and their use in therapy
US9656955B2 (en) 2013-03-15 2017-05-23 Abbvie Inc. Pyrrolidine derivatives, pharmaceutical compositions containing them, and their use in therapy
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
KR20160062165A (ko) 2013-10-17 2016-06-01 아비에 도이치란트 게엠베하 운트 콤파니 카게 아미노테트랄린 및 아미노인단 유도체, 이들을 포함하는 약제학적 조성물, 및 치료에서 이들의 용도
WO2015055770A1 (en) 2013-10-17 2015-04-23 AbbVie Deutschland GmbH & Co. KG Aminochromane, aminothiochromane and amino-1,2,3,4-tetrahydroquinoline derivatives, pharmaceutical compositions containing them, and their use in therapy

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1065003A (ja) 1996-08-13 1998-03-06 Sony Corp 微細接続孔の形成方法
JP2000150519A (ja) 1998-08-31 2000-05-30 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
JPH10294367A (ja) * 1997-04-21 1998-11-04 Sony Corp 半導体装置の製造方法
US6066569A (en) * 1997-09-30 2000-05-23 Siemens Aktiengesellschaft Dual damascene process for metal layers and organic intermetal layers
JP3078812B1 (ja) * 1998-03-26 2000-08-21 松下電器産業株式会社 配線構造体の形成方法
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
US6060380A (en) * 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6331479B1 (en) * 1999-09-20 2001-12-18 Chartered Semiconductor Manufacturing Ltd. Method to prevent degradation of low dielectric constant material in copper damascene interconnects
JP2001156170A (ja) * 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
US6380073B1 (en) * 2000-08-29 2002-04-30 United Microelectronics Corp. Method for forming metal interconnection structure without corner faceted
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1065003A (ja) 1996-08-13 1998-03-06 Sony Corp 微細接続孔の形成方法
JP2000150519A (ja) 1998-08-31 2000-05-30 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
TW522490B (en) 2003-03-01
JP4850332B2 (ja) 2012-01-11
EP1333483A4 (en) 2006-02-08
KR20030051720A (ko) 2003-06-25
US7326650B2 (en) 2008-02-05
WO2002033747A1 (fr) 2002-04-25
JP2002124568A (ja) 2002-04-26
EP1333483A1 (en) 2003-08-06
US20040026364A1 (en) 2004-02-12

Similar Documents

Publication Publication Date Title
KR100810788B1 (ko) 듀얼 다마신 구조의 에칭 방법
KR100538749B1 (ko) 상호 접속 구조체, 및 로우-k 유전체와 금속 도체 상호접속 구조체를 제조하는 방법
JP4177993B2 (ja) 半導体装置及びその製造方法
JP4169150B2 (ja) 犠牲ハードマスクを用いて金属パターンを形成する方法
KR100768363B1 (ko) 반도체 집적회로장치의 제조방법 및 반도체 집적회로장치
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
KR100538750B1 (ko) 상호 접속 구조체 및 이종 로우-k 유전체
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
US8183694B2 (en) Reversing tone of patterns on integrated circuit and nanoscale fabrication
KR20010098409A (ko) 저 비유전율층 보호 방법과 상호 접속 구조체 형성 방법및 벌크 유전체 물질이 보호되는 중간 반도체 디바이스와상호 접속 구조를 갖는 반도체 기판 구조체
KR20000017275A (ko) 반도체 장치 및 그 제조 공정
US20060094221A1 (en) Method for manufacturing electronic device
JP4477750B2 (ja) エッチング方法
US6774031B2 (en) Method of forming dual-damascene structure
JP2004055781A (ja) 半導体装置の製造方法
CN1661799B (zh) 半导体器件
WO2007043634A1 (ja) 多層配線の製造方法
US6162722A (en) Unlanded via process
JP4092902B2 (ja) 半導体装置の製造方法
JP2004119539A (ja) レジストパターンの除去方法
JP2005005697A (ja) 半導体装置の製造方法
JP2003086679A (ja) 集積回路装置およびその製造方法
KR100439111B1 (ko) 반도체소자의 금속배선 형성방법
JP2006294965A (ja) 半導体装置の製造方法
JP2006073907A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee