TW202249073A - 用於處理裝置的技術 - Google Patents
用於處理裝置的技術 Download PDFInfo
- Publication number
- TW202249073A TW202249073A TW111132285A TW111132285A TW202249073A TW 202249073 A TW202249073 A TW 202249073A TW 111132285 A TW111132285 A TW 111132285A TW 111132285 A TW111132285 A TW 111132285A TW 202249073 A TW202249073 A TW 202249073A
- Authority
- TW
- Taiwan
- Prior art keywords
- die
- bonding
- substrate
- bonding surface
- plasma
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 193
- 239000000758 substrate Substances 0.000 claims abstract description 154
- 238000004377 microelectronic Methods 0.000 claims abstract description 16
- 239000000853 adhesive Substances 0.000 claims abstract description 14
- 230000001070 adhesive effect Effects 0.000 claims abstract description 14
- 238000004140 cleaning Methods 0.000 claims description 52
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 34
- 239000002245 particle Substances 0.000 claims description 25
- 229910052757 nitrogen Inorganic materials 0.000 claims description 17
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 15
- 239000001301 oxygen Substances 0.000 claims description 15
- 229910052760 oxygen Inorganic materials 0.000 claims description 15
- 230000003213 activating effect Effects 0.000 claims description 13
- 239000011253 protective coating Substances 0.000 claims description 12
- 239000013078 crystal Substances 0.000 claims description 3
- 235000012431 wafers Nutrition 0.000 abstract description 76
- 230000008569 process Effects 0.000 description 119
- 239000010410 layer Substances 0.000 description 59
- 210000002381 plasma Anatomy 0.000 description 40
- 239000002184 metal Substances 0.000 description 23
- 229910052751 metal Inorganic materials 0.000 description 23
- 239000000463 material Substances 0.000 description 22
- 238000004380 ashing Methods 0.000 description 18
- 230000002209 hydrophobic effect Effects 0.000 description 16
- 238000001994 activation Methods 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 15
- 239000000126 substance Substances 0.000 description 14
- 239000011241 protective layer Substances 0.000 description 13
- 238000001020 plasma etching Methods 0.000 description 12
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- 230000004913 activation Effects 0.000 description 10
- 238000011109 contamination Methods 0.000 description 10
- 239000010949 copper Substances 0.000 description 9
- 239000008367 deionised water Substances 0.000 description 9
- 229910021641 deionized water Inorganic materials 0.000 description 9
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- 238000002360 preparation method Methods 0.000 description 8
- 239000000356 contaminant Substances 0.000 description 7
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- -1 etchant Substances 0.000 description 6
- 238000005406 washing Methods 0.000 description 6
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 5
- 238000000137 annealing Methods 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 239000000969 carrier Substances 0.000 description 5
- 150000007524 organic acids Chemical class 0.000 description 5
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000005108 dry cleaning Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 239000002904 solvent Substances 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- 239000001569 carbon dioxide Substances 0.000 description 3
- 229910002092 carbon dioxide Inorganic materials 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 239000008139 complexing agent Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 230000008030 elimination Effects 0.000 description 3
- 238000003379 elimination reaction Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 230000005661 hydrophobic surface Effects 0.000 description 3
- 230000013011 mating Effects 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 235000005985 organic acids Nutrition 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 238000000638 solvent extraction Methods 0.000 description 3
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000001680 brushing effect Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 235000011187 glycerol Nutrition 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000005304 joining Methods 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000005201 scrubbing Methods 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 238000000527 sonication Methods 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 230000003746 surface roughness Effects 0.000 description 2
- FPGGTKZVZWFYPV-UHFFFAOYSA-M tetrabutylammonium fluoride Chemical compound [F-].CCCC[N+](CCCC)(CCCC)CCCC FPGGTKZVZWFYPV-UHFFFAOYSA-M 0.000 description 2
- 150000003852 triazoles Chemical group 0.000 description 2
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 239000004322 Butylated hydroxytoluene Substances 0.000 description 1
- NLZUEZXRPGMBCV-UHFFFAOYSA-N Butylhydroxytoluene Chemical compound CC1=CC(C(C)(C)C)=C(O)C(C(C)(C)C)=C1 NLZUEZXRPGMBCV-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- XOJVVFBFDXDTEG-UHFFFAOYSA-N Norphytane Natural products CC(C)CCCC(C)CCCC(C)CCCC(C)C XOJVVFBFDXDTEG-UHFFFAOYSA-N 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 125000001931 aliphatic group Chemical group 0.000 description 1
- 150000001408 amides Chemical class 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 235000019282 butylated hydroxyanisole Nutrition 0.000 description 1
- 235000010354 butylated hydroxytoluene Nutrition 0.000 description 1
- 229940095259 butylated hydroxytoluene Drugs 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000002241 glass-ceramic Substances 0.000 description 1
- 125000005456 glyceride group Chemical group 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 229910052500 inorganic mineral Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229940098779 methanesulfonic acid Drugs 0.000 description 1
- 239000011707 mineral Substances 0.000 description 1
- 150000007522 mineralic acids Chemical class 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000005677 organic carbonates Chemical class 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000000678 plasma activation Methods 0.000 description 1
- 150000003071 polychlorinated biphenyls Chemical class 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000011856 silicon-based particle Substances 0.000 description 1
- 230000002269 spontaneous effect Effects 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/185—Joining of semiconductor bodies for junction formation
- H01L21/187—Joining of semiconductor bodies for junction formation by direct bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6835—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6835—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
- H01L21/6836—Wafer tapes, e.g. grinding or dicing support tapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/03—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/27—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/28—Structure, shape, material or disposition of the layer connectors prior to the connecting process
- H01L24/30—Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/74—Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
- H01L24/75—Apparatus for connecting with bump connectors or layer connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
- H01L24/92—Specific sequence of method steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0657—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/50—Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/67—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
- H01L2221/683—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L2221/68304—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
- H01L2221/68327—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/67—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
- H01L2221/683—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L2221/68304—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
- H01L2221/6834—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/67—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
- H01L2221/683—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L2221/68304—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
- H01L2221/6835—Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
- H01L2224/03001—Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
- H01L2224/03009—Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
- H01L2224/038—Post-treatment of the bonding area
- H01L2224/0383—Reworking, e.g. shaping
- H01L2224/03845—Chemical mechanical polishing [CMP]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
- H01L2224/039—Methods of manufacturing bonding areas involving a specific sequence of method steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05638—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/05647—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/05686—Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08151—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/08221—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/08225—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
- H01L2224/091—Disposition
- H01L2224/0918—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/09181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/74—Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
- H01L2224/75—Apparatus for connecting with bump connectors or layer connectors
- H01L2224/7525—Means for applying energy, e.g. heating means
- H01L2224/753—Means for applying energy, e.g. heating means by means of pressure
- H01L2224/75301—Bonding head
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/74—Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
- H01L2224/75—Apparatus for connecting with bump connectors or layer connectors
- H01L2224/7525—Means for applying energy, e.g. heating means
- H01L2224/753—Means for applying energy, e.g. heating means by means of pressure
- H01L2224/75301—Bonding head
- H01L2224/75312—Material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80011—Chemical cleaning, e.g. etching, flux
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80012—Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80013—Plasma cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80019—Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8001 - H01L2224/80014
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80895—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80896—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80905—Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80909—Post-treatment of the bonding area
- H01L2224/80948—Thermal treatments, e.g. annealing, controlled cooling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80986—Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
- H01L2224/83009—Pre-treatment of the layer connector or the bonding area
- H01L2224/8301—Cleaning the layer connector, e.g. oxide removal step, desmearing
- H01L2224/83011—Chemical cleaning, e.g. etching, flux
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
- H01L2224/83009—Pre-treatment of the layer connector or the bonding area
- H01L2224/8301—Cleaning the layer connector, e.g. oxide removal step, desmearing
- H01L2224/83013—Plasma cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L2224/97—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06513—Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06517—Bump or bump-like direct electrical connections from device to substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06524—Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L24/97—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Pressure Welding/Diffusion-Bonding (AREA)
- Adhesives Or Adhesive Processes (AREA)
- Treatment Of Water By Ion Exchange (AREA)
- Multi Processors (AREA)
- Multi-Process Working Machines And Systems (AREA)
- Dicing (AREA)
Abstract
本發明的代表性技術提供用於形成微電子組裝件之製程步驟,其包括製備用於接合之微電子組件,諸如晶粒、晶圓、基板及類似者。該等微電子組件之一或多個表面經形成及製備為接合表面。該等微電子組件在該等所製備接合表面處無黏合劑之情況下經堆疊及接合。
Description
以下描述係關於積體電路(「IC(integrated circuits)」)。更特定而言,以下描述係關於製造IC晶粒及晶圓。
優先權主張及相關申請案之交叉參考
本申請案主張2019年1月30日申請之美國非臨時申請案第16/262,489號及2018年2月15日申請之美國臨時申請案第62/631,216號之權益,該些申請案以全文引用之方式併入本文中。
微電子元件通常包含半導體材料(諸如,矽或砷化鎵)之較薄厚塊,其通常稱為半導體晶圓。晶圓可經形成為包括晶圓表面上及/或部分嵌入晶圓內之多個積體晶片或晶粒。與晶圓分隔開之晶粒通常經設置為個別經預封裝單元。在一些封裝設計中,晶粒經黏著至基板或晶片載體,該基板或晶片載體繼而經黏著在諸如印刷電路板(printed circuit board;PCB)之電路板上。舉例而言,許多晶粒經設置於適合於表面黏著的封裝中。
經封裝半導體晶粒亦可經設置於「堆疊式(stacked)」配置中,其中一個封裝經設置於(例如)電路板或其他載體上,且另一封裝經黏著在第一封裝之頂部上。此等配置可允許將多個不同晶粒黏著在電路板上之單個覆蓋面積內,且可進一步藉由在封裝之間提供短互連件來促進高速操作。通常,此互連距離可僅略大於晶粒自身之厚度。對於將在晶粒封裝之堆疊內達成的互連,用於機械及電氣連接之互連結構可設置於每一晶粒封裝(除了最頂部封裝)以外之兩側(例如,面)上。
另外,晶粒或晶圓可以三維配置經堆疊作為各種微電子封裝方案之部分。此可包括在較大基板晶粒、裝置、晶圓、基板或類似者上堆疊一或多個晶粒、裝置及/或晶圓之層,以豎直或水平配置堆疊多個晶粒或晶圓,以及兩者之各種組合。晶粒或晶圓可使用各種接合技術以堆疊式配置接合,該等接合技術包括直接介電質接合、無黏合劑技術(諸如ZiBond®)或混合式接合技術(諸如DBI®),兩者可自Invensas接合技術公司(先前是Ziptronix公司)、Xperi公司購得(例如,參見美國專利第6,864,585號及第7,485,968號,其全文併入本文中)。
對於實施堆疊式晶粒及晶圓配置可存在多種挑戰。當使用直接接合或混合接合技術來接合堆疊式晶粒時,通常期望待接合之晶粒的表面為極平坦、平滑且清潔的。舉例而言,大體地,該等表面應在表面拓樸上具有極低偏差且具有低含量之雜質、粒子或其他殘餘物。移除粒子或殘餘物可改善表面之清潔度及平坦度以及層之間的接合之可靠性,然而,粒子及殘餘物之移除有時可能存在問題。
揭示代表性技術及裝置,該等技術包括用於形成新穎微電子組裝件之製程步驟。製程包括製備用於接合之微電子組件,諸如晶粒、晶圓、基板及類似者。在各種實施方案中,微電子組件之一或多個表面經形成及製備為接合表面。微電子組件在所製備接合表面處無黏合劑之情況下經堆疊及接合。
在各種實施方案中,用於形成微電子組裝件之方法包括製備第一基板之接合表面,其包括:使第一基板之接合表面平坦化以具有第一預定最小表面偏差且電漿活化第一基板之接合表面。方法進一步包括製備第二基板之第一接合表面,其包括使第二基板之第一接合表面平坦化以具有第二預定最小表面偏差。
在一實施方案中,方法包括將第二基板安裝至由分割框架保持之分割板或分割帶,且在將第二基板安裝至分割板或分割帶時將第二基板單粒化為複數個晶粒。複數個晶粒中之每一晶粒具有第一接合表面,該第一接合表面包含第二基板之第一接合表面的一部分。
該方法可包括在將複數個晶粒安裝至分割板或分割帶時處理複數個晶粒,使用拾放工具來選擇複數個晶粒中之一晶粒,且在無黏合劑之情況下及在未活化晶粒之第一接合表面之情況下將晶粒之第一接合表面直接接合至第一基板之接合表面。
在額外實施方案中,技術及方法包括製備用於將額外晶粒(或多個額外晶粒)接合至經接合晶粒之第二基板或複數個晶粒(或單個晶粒)之背側。
在一些實施方案中,獨特集合之處理步驟用於清潔第一基板及/或第二基板之接合表面或晶粒之接合表面。舉例而言,在一些具體實例中,一或多個獨特處方集可用於清潔。在其他具體實例中,超高頻音波換能器及/或機械式毛刷可用於幫助清潔。
在一替代實施方案中,基板或晶粒中之一或多者之接合表面在處理期間可塗佈有一或多個保護塗層。舉例而言,在一個具體實例中,個別保護塗層可使接合表面呈現疏水性或親水性。塗層可防止對接合表面之污染,在處理期間保護接合表面免遭損壞,或類似者。在其他製程步驟期間可移除塗層中之一或多者。另外,對組件進行處置之處理工具中之一或多者在處置期間可經塗佈或形成為疏水性的以作為一種用於防止清潔組件之污染的技術。
參考電氣及電子組件以及變化之載體論述各種實施方案及配置。雖然提及具體組件(即,晶粒、晶圓、積體電路(IC)晶片晶粒、基板等),但此不意欲為限制性的,且係為了易於論述及便於說明。參考晶圓、晶粒、基板或類似者論述之技術及裝置適用於任一類型或數目之電氣組件、電路(例如,積體電路(IC)、混合電路、ASIC、記憶體裝置、處理器等)、組件之群組、封裝式組件、結構(例如,晶圓、面板、板、PCB等)及類似者,其可經耦接以彼此介接,與外部電路、系統、載體及類似者介接。此等不同組件、電路、群組、封裝、結構及類似者中之每一者可通常稱作「微電子組件(microelectronic component)」。為簡單起見,除非另外規定,否則接合至另一組件之組件將在本文中稱作「晶粒(die)」,且晶粒所接合之其他組件將在本文中稱作「基板(substrate)」。
在下文使用複數個實例來更詳細地解釋實施方案。儘管在此處且在下文論述各種實施方案及實例,但其他實施方案及實例可藉由組合個別實施方案及實例之特徵及元件來成為可能。
概述
參考圖1A至1C,在各種實例中,揭示用於處理待堆疊且直接或緊密接合之裝置的技術。本發明描述包括將一或多個晶粒102接合至基板104之實例製程。然而,製程亦可用於將晶圓接合至晶圓,將晶粒接合至晶粒,將晶粒接合至晶圓等等。附圖中之圖式展示一些用於形成及製備用於接合之基板104(且特定言之,基板104之接合表面108)的製程,及其他用於形成及製備用於接合至基板104及接合至其他晶粒102之一或多個晶粒102(且特定言之,晶粒102之接合表面106及110)的製程。在此等製程結束時,一或多個晶粒102經接合(直接接合,例如,在無黏合劑之情況下)至基板104或另一晶粒102。當兩個表面(106與108及/或106與110)結合在一起時,接合係在環境條件下進行之自發性製程。
在圖1A至1C處說明展示實例晶粒102及實例基板104之剖面圖。圖1A說明在接合之前的晶粒102及基板104,且圖1B展示在接合後之晶粒102及基板104。圖1C展示在將晶粒102A接合至基板104後,例如藉由將晶粒102B堆疊且接合至晶粒102A來將多個晶粒102接合至基板104。額外晶粒102(C-N)可視需要以類似方式堆疊且接合至晶粒102B。
在各種具體實例中,實例晶粒102或實例基板104可包括由晶粒102之接合表面(106、110)或基板104之接合表面108上之絕緣材料(例如,氧化物)包圍之一或多個導電跡線或互連件(圖中未示)。舉例而言,晶粒102或基板104可包括判定晶粒102或基板104之功能(例如,記憶體、處理程序、可程式化邏輯等)的多個導電及絕緣層(圖中未示)。接合表面(106、108或110)上之經曝露互連件可以電氣方式與各種導電層為連續的,且提供用於晶粒102或基板104之介面。
當使用直接接合或混合接合技術將晶粒102接合至基板104(或將晶粒接合至晶粒,將晶粒接合至晶圓,將晶圓接合至晶圓等)時,通常期望待接合之晶粒102及基板104之表面為極平坦及平滑的。舉例而言,大體上,接合表面(106與108及106與110)在表面拓樸上應具有極低偏差(即,奈米級偏差,例如低於2 nm及較佳地低於0.5 nm),使得接合表面(106與108及106與110)可緊密配合以形成持久接合。通常亦期望接合表面(106與108及106與110)為清潔的且具有大小足夠大以產生接合空隙之低含量之雜質、粒子或其他殘餘物,該等接合空隙會引起電氣連續性故障或其他接合缺陷。
舉例而言,處理步驟中殘存之粒子及殘餘物可引起堆疊式晶粒102與基板104之間的接合介面處之空隙。若空隙大體上小於金屬電互連件大小,則其可為可接受的。然而,通常不能容許引起大小接近或超過電互連件大小之接合缺陷的粒子。此外,由於暫時性載體及基板在經移除時可殘留甚至在清潔後仍存留之接合殘餘物,因此暫時地接合晶粒、晶圓及基板以供處理或處置(例如,使用聚合層或無機層等以供暫時性接合)亦可存在問題。
來自暫時性黏合劑層之可包含高溫聚合物及類似者之殘餘物可為非連續的,其中晶粒表面106及110或基板表面108上之厚度不同(例如,厚度可介於10 nm至50 um範圍內)。電漿清潔(諸如用氧電漿進行灰化)可用於移除薄的殘餘物,但甚至長的氧電漿灰化步驟(例如,超過40分鐘)可能對移除最厚殘餘物為無效的。另外,長的氧灰化製程可易於氧化接合表面(106、110及/或108)上之導電特徵且減小清潔表面之平面度。
舉例而言,接合表面(106、110及/或108)可包括凹入式導電特徵,其中標稱導電層凹部為相鄰介電質層或表面之表面下之約1 nm至20 nm。在晶圓或晶粒表面之長的氧灰化後,先前凹入之導電特徵可大體上變化從而干擾接合或接合後之金屬互連件之形成。舉例而言,先前凹入之導電特徵可現在相鄰介電質區之表面上方突出。在一些情況下,取決於灰化製程參數,導電特徵之突出部可介於3 nm至30 nm範圍。接合表面(106、110及/或108)上方之此等導電突點可妨礙或防止接合層或表面之緊密配合。
在此類情況下,高溫(例如,高於50℃)濕式製程有時用於移除厚殘餘物;然而,此類製程不可與其他晶粒102或基板104層或材料相容。舉例而言,高溫濕式製程可降級晶粒102或基板104之經拋光金屬層,從而降低裝置良率。此外,高溫不可與一些晶粒102或基板104處理組件(例如,塑膠分割帶、夾環等)相容。
在一些習知晶圓清潔製程中,包括侵蝕性清潔溶液之濕式化學清潔溶液可自晶圓之表面有效地移除有機殘餘物。舉例而言,在50℃與80℃之間的溫度下用過氧化氫在氫氧化胺、硫酸或氫氯酸及其各種組合之溶液中之混合物來清潔晶圓可製備原始晶圓表面。然而,由於此等侵蝕性濕式化學物質將不僅清潔聚合殘餘物及粒子,其亦可溶解通常包含諸如銀、銅、鎳及其各種合金之材料的大部分實用導電特徵,因此此類侵蝕性清潔步驟將通常不適宜來清潔晶粒102之接合表面106及110。
代表性製程
圖2至7B說明用於形成及製備用於接合(諸如,用於在無黏合劑之情況下直接接合)之微電子組件(諸如,晶粒102及基板104)之代表性製程200至750。製程200至750包括提供微電子組件上之接合表面(諸如,接合表面106、110及108)或在一些實例中提供兩個接合表面(諸如單個晶粒102上之接合表面106及110),使接合表面平坦化,清潔及活化(在一些實例中)接合表面,及類似者。
描述製程200至750之次序不意欲經理解為限制性,且製程200至750中之任一者中的任何數目之所描述製程區塊可按任何次序組合以實施該等製程或替代製程。另外,可在不脫離本文中所描述之主題之精神及範圍的情況下自製程中之任一者刪除個別區塊。此外,製程200至750可以任何適合之硬體、軟體韌體或其組合實施,而不脫離本文中所描述之主題之範圍。
在替代實施方案中,其他技術可以各種組合包括於製程200至750中,且保持在本發明之範圍內。
用於形成及製備基板之實例技術
在圖2處說明實例基板104形成製程200。在各種具體實例中,基板104可包含矽、鍺、介電質表面、直接或間接帶隙半導體材料或層或另一適合的材料。在區塊202處,製程包括製造基板104。簡要地,形成基板104可包括製造基板104中之裝置(諸如所關注之前端製程(the front end of the line;FEOL)、多層後端製程(backend of the line;BEOL)及其他結構)、清潔基板104之表面等等。
在區塊204處,製程包括在基板104之第一(前方)表面108上形成直接接合層。用於接合層之材料可沉積或形成於第一表面108上,且可包含無機介電質材料層,諸如氧化物、氮化物、氮氧化物、碳氧化物、碳化物、氮碳化物、金剛石、金剛石類材料、玻璃、陶瓷、玻璃陶瓷及類似者,或無機介電質層與一或多個金屬特徵之組合。在一些具體實例中,金屬特徵可自介電質表面略微凹入,例如介電質之表面下之1 nm至20 nm。在一些具體實例中,形成直接接合層可併入至晶圓製造製程(諸如,區塊202)中作為最後形成之金屬層。在一個具體實例中,最後一個金屬化介電質層之平坦表面可包含接合表面108,且形成接合層108之額外金屬化介電質塗層可能不為必要的。
形成直接接合層包括對第一表面108(即,接合表面)進行表面處理(finish)以符合介電質粗糙度規格及金屬層(例如,銅等)凹部規格從而製備用於直接接合之表面108。換言之,接合表面108經形成為儘可能平坦及平滑的,且具有極小表面拓樸偏差。諸如化學機械拋光(chemical mechanical polishing;CMP)之各種習知製程可用於達到低表面粗糙度。金屬層可經組態以提供電路徑及/或熱路徑,或可替代地經組態以經由使用所謂的虛設襯墊、軌跡、圖案或類似者來平衡金屬化物。
在區塊206處,基板104之接合表面108經清潔及/或活化以備用於直接接合,如下文所描述。
用於形成及製備晶粒之實例技術
圖3說明用於由晶圓(其亦可稱作「第二基板」,且其可包含類似或相同材料且以與上文參考基板104所描述類似或相同之製程及技術形成)形成單側或雙側晶粒102之實例製程300。在一實施方案中,製造晶圓且形成具有接合表面之表面拓樸要求之(前方)接合表面106的製程步驟302及304實質上與上文在製程200之區塊202及204處參考基板104所描述的相同。
在區塊306處,在使晶圓之接合表面106平坦化(例如,藉由CMP製程)以實現所需拓樸後,保護塗層(諸如抗蝕劑或其他適合的材料)經塗覆至晶圓之接合表面以保護接合表面106免遭污染、保護經曝露金屬層免遭腐蝕且在單粒化操作期間保護接合表面106,該等單粒化操作可易於在晶粒102之前方及側表面上產生殘渣。
另外或替代地,晶圓之背部表面可經處理(例如,貫穿晶粒之導體顯露、平坦化等),從而形成晶粒102上之亦可塗佈有保護塗層之背部接合表面110,或類似者。
在一些具體實例中,超過一個類型之保護層可塗覆至晶圓表面。舉例而言,第一保護層可包含疏水性保護層,且疏水層之上可為親水性保護層。在該實例中,下伏疏水層允許在清潔晶粒102之側表面期間使用侵蝕性蝕刻化學品,且亦增長所製備表面106及110之存放期。在一些情況下,上覆親水層接收或浸漬有在分割製程期間所產生之粒子、殘渣、分割帶、黏合劑等。親水層以及粒子及殘渣經移除。疏水層可暫時地維持完好以在後續處理或儲存期間保護表面106(及/或110)。
在一些情況下,如在區塊308處所展示,自非保護側面薄化晶圓以實現所需厚度。在區塊310處,晶圓安裝至框架上之分割帶且經單粒化(區塊312)以形成晶粒102。可使用鋸、雷射(例如,隱形雷射)、電漿蝕刻製程或其他適合的技術將晶圓單粒化為一定數量之晶粒102。在各種實例中,在晶圓安裝至由框架保持之分割板或分割層或分割帶(或類似者)時,晶粒102經單粒化。
在分割後,分割帶上之經分割晶圓可根據需要擴展且安裝至夾環中。在各種實例中,框架或夾環可包含塑膠部分、金屬部分、其組合及類似者。分割帶可為行業中普遍使用的任何類型之分割帶。一個實例分割帶包括UV釋放帶。在一些實例中,晶粒102可在處於夾環或分割框架上時經運送。
形成及製備晶粒雙側晶粒之實例
圖4說明用於形成雙側晶粒102之實例製程400,其中晶粒102之兩個表面(106及110)將接合至基板104或接合至其他晶粒102,諸如具有多個晶粒對晶粒或晶粒對晶圓應用(例如,如圖1C中所展示)。在區塊402處,製程包括製造用於晶粒102之晶圓,其可包括在貫穿用於未來貫穿裝置連接之晶粒102之所需位置處製造矽穿孔(through-silicon-vias;TSV)。在各種具體實例中,晶圓可由矽、鍺或另一適合的材料製成。
在區塊404處,製程包括在晶粒102之第一(前方)表面106上形成直接接合層。用於接合層之材料可沉積或形成於第一表面106上,且可包含無機介電質材料層或無機介電質層與一或多個金屬特徵之組合,如參考圖2所描述。類似地,如較早所論述,最後一個金屬化介電質層之平坦表面可包含接合表面106,且形成接合層106之額外金屬化介電質塗層可不為必要的。
形成直接接合層包括對第一表面106(即,接合表面)進行表面處理以符合介電質粗糙度規格及金屬層(例如,銅等)凹部規格從而製備用於直接接合之表面106。換言之,接合表面106經形成為儘可能平坦及平滑的,且具有極小表面拓樸偏差。諸如化學機械拋光(CMP)之各種習知製程可用於達到低表面粗糙度。
在一實施方案中,在區塊406處,待單粒化為晶粒102之晶圓之所製備前方表面經直接接合為支援晶圓(例如,在一個實例中,「矽載體(silicon carrier)」,但亦可使用其他材料之載體),以供在第二(背部)接合表面110之製造期間處置晶圓。在一個實例中,使用Zibond直接接合技術將晶圓之第一表面接合至矽晶圓,如上文所描述。
在各種具體實例中,將矽載體直接接合至晶圓具有多個優勢。舉例而言,此技術消除對背側晶圓處理之任何溫度限制。此外,矽載體可具有類似或緊密匹配之熱膨脹係數(coefficient of thermal expansion;CTE),從而在處理期間減少或消除晶圓之翹曲。此可改善與晶圓直接接合之成果及可靠性。此外,直接接合矽載體實現超薄晶圓以直接接合至其他晶粒、晶圓、基板等,其中以其他方式其將不可能實現。
在區塊408處,在形成及拋光第二接合表面110之前,矽基板可經薄化,且TSV經曝露。在晶圓之背側上,晶粒102之第二接合表面110經形成及表面處理(如上文所描述)以符合最大介電質粗糙度規格及金屬層(例如,銅等)凹部規格,且具有極小表面拓樸偏差。另外,保護塗層可塗覆至經拋光之第二接合表面110。
在區塊410處,晶圓之第二表面110可隨後使用黏合劑經接合至暫時性支援晶圓,以處置用於前側106處理之晶圓。在區塊412處,使用一或多種技術自晶圓之第一表面106移除矽支援晶圓,該等技術包括蝕刻、溶解、研磨或類似者。執行移除製程以確保在已移除支撐晶圓後,第一表面106保留用於未來直接或混合接合之介電質層及任何導電層。
在區塊414處,一或多個保護塗層可塗覆至經曝露第一表面106。在一些具體實例中,超過一種類型之保護層可塗覆至第一表面106。舉例而言,第一保護層可包含疏水性保護層,且重疊疏水層可為親水性保護層(如上文所描述)。
在區塊416處,自晶圓之背部表面110移除暫時性載體及暫時性接合黏合劑。在區塊418處,經表面處理之雙側晶圓隨後安裝至框架上之分割帶且經單粒化以形成一定數量之雙側晶粒102(區塊420)。視情況,經分割晶圓可轉移至夾環(區塊422)以備用於接合(區塊424)。
參看圖5,在由製程500所說明之替代具體實例中,,矽載體不使用直接接合技術接合至晶圓。替代地,在參考圖4如上文所描述地製造(區塊502)及形成/表面處理第一接合表面(區塊504)後,使用暫時性接合黏合劑將第一表面106接合至支持載體(區塊506)以用於製造第二(背側)110接合表面。
在區塊508處,晶圓可視需要經薄化,從而曝露TSV。第二接合層110如上文所描述地經形成及表面處理。在區塊510處,一或多個保護塗層可在分割之前塗覆至一或多個所製備接合表面(106及/或110)。在形成及表面處理第二接合表面110後,暫時性載體及暫時性黏合材料經移除(區塊512)。經表面處理之雙側晶圓隨後安裝至框架上之分割帶或分割層(區塊514),例如,且經單粒化(區塊516)以形成一定數量之雙側晶粒102。視情況,經分割晶圓可轉移至夾環(區塊518)以備用於接合(區塊520)。
清潔及製備基板
圖6A及6B之說明分別展示實例製程600及650,從而描述清潔及製備用於接合之基板104(製程600)及晶粒102(製程650)。此外,儘管該等說明(及相關描述)描述將晶粒102接合至基板104,但製程可用於將晶圓接合至晶圓,將晶粒接合至晶粒,將晶粒接合至晶圓等等。另外,此等製程中所描述之基板104亦可指已黏著至另一晶粒102或較大基板(諸如,晶圓)之晶粒102之背側110。
參考圖6A,製程600展示基板104之接合表面108之製備。在一些具體實例中,緊接的為製程600,或該製程概述於圖2處所說明之製程200之區塊206內。舉例而言,在區塊602處,例如藉由濕式製程用溶劑來清潔基板104之接合表面108。緊接的為區塊604,其包括利用氧電漿(通常稱為灰化)或其他電漿化學物質之乾式清潔製程,以移除任何無機及有機污染物。舉例而言,電漿可經由大氣壓、下游或反應性離子蝕刻(reactive ion etching;RIE)製程而提供。
基板104可隨後經再清潔,其包括用去離子或弱鹼性的水或其他適合的溶液洗滌以移除來自灰化製程之任何粒子。在區塊606處,舉例而言,使用氮電漿製程來活化接合表面108,以製備用於接合之基板表面108。在各種實例中,已知該活化製程改善接合晶粒102對基板104之接合強度。類似地,舉例而言,活化步驟可經由大氣壓、下游或反應性離子蝕刻(RIE)製程而提供。亦可用去離子水沖洗基板104以移除來自活化製程之粒子,如區塊608處所展示。
清潔及製備晶粒
參考圖6B,展示用於製備一或多個晶粒102之第一接合表面106之製程650。在一些具體實例中,緊接的為製程650,或該製程分別概述於圖3、4及5之區塊316、424或520或類似者內。舉例而言,在區塊652處,製備晶粒102之第一接合表面106包括自接合表面106移除保護層。在區塊654及656處,一或多個接合表面106經清潔。舉例而言,一或多個替代或視情況選用之製程階段可用於移除任何無機及有機污染物,該等製程階段包括利用溶劑之濕式清潔、利用氧電漿(通常稱為灰化)或使用其他電漿化學物質之之乾式清潔或類似者。乾式清潔可由諸如大氣壓、下游或RIE製程之電漿製程執行。
在一些情況下,在晶粒102藉由框架或夾環(例如,經由濕式製程)保持在分割帶上時,可自晶粒102清除一或多個保護層,其亦清潔由分割製程產生之殘渣。當使用UV釋放帶時,可根據需要在晶粒清潔製程之前或在晶粒清潔製程後執行減小晶粒102與該釋放帶之間的黏合強度以釋放晶粒102之UV曝露。
在各種具體實例中,利用可移除保護塗層而不腐蝕下方金屬層(例如,銅)之化學品(例如,溶劑、蝕刻劑、水等)來清潔晶粒102。舉例而言,清潔化學品可包括過氧化氫在氫氧化胺或酸之溶液中之混合物。金屬鈍化化合物(例如,三唑部分)可用於抑制諸如銀、銅、鎳及其各種合金之導電特徵之金屬蝕刻。可自接合表面106之金屬部分清潔或沖洗此等化學品及鈍化化合物。
在分割製程期間,晶圓之粒子(例如,矽粒子)可藉由分割輪或分割線之機械作用而嵌入於分割帶中。在將晶粒自分割帶直接取放轉移至接合層期間,此等嵌入型粒子可污染清潔晶粒102之邊緣及表面,該等表面包括接合表面106。相應地,自清潔接合表面106減少或消除嵌入型粒子極為重要。在一個實例中,晶粒102可重新安裝至相同或類似材料或另一適合材料之新製分割帶薄板上,從而丟棄原分割帶。此可進行以移除嵌入型污染物或允許使用不與原分割帶相容之化學品。
替代地,可利用更劇烈之晶粒102清潔來移除分割帶中所捕集之污染物,該清潔包括超高頻音波震盪、機械式刷洗及/或高壓洗滌。包括超高頻音波震盪、機械式刷洗及高壓洗滌之劇烈清潔亦清潔晶粒102之邊緣以移除邊緣處之粒子及有機污染物。
晶粒102可藉由諸如氧電漿之電漿製程進行乾式清潔且在處於由框架或夾環保持之分割帶上時藉由濕式製程進行再清潔,以移除一或多個保護層之任何殘存殘餘物或由一些製程步驟產生之額外污染物且改善接合表面106。然而,在一些情況下,分割帶可與電漿製程反應,且可由其反應產物潛在地導致接合表面106之再污染。
在一些情況下,屏蔽晶粒102以外之曝露帶且使晶粒102之間的曝露帶最小化(例如,使用窄切割刀片且不拉伸該帶)且縮短電漿製程持續時間可減少污染。在一些情況下,基於氧之反應性離子蝕刻(RIE)電漿製程對縮短灰化製程係較佳的。在各種實施方案中,RIE電漿腔室中之短灰化製程可比較小功率電漿腔室中之長灰化製程產生更少的表面再污染。再清潔可包含用去離子水沖洗晶粒102,其可與機械式刷洗、超高頻音波震盪及/或高壓洗滌組合。
在區塊658處,晶粒102可經活化。基於氮之RIE製程或下游電漿方法或其他包括電漿清潔步驟之原子層清潔方法可用於活化晶粒表面106及/或清潔來自所關注表面之任何殘存殘餘物或非所要材料。在區塊660處,作為選擇方案,可用去離子水沖洗晶粒102以進行最終清潔。
在晶粒102形成及製備方法結束時,晶粒102可接合至基板104之所製備接合表面108(如圖1B中所說明)。對於如圖1C中所展示之多個晶粒102堆疊配置,在將每一晶粒102A放置在基板104(或前一晶粒102N)上後,所置放晶粒102A之第二接合表面110(背側)可在將下一晶粒102B之接合表面106直接接合至先前晶粒102A之背側表面110之前經平坦化、清潔及活化(在一些具體實例中,視需要且如上文所論述)。任何所添加之晶粒102N可具有製備好的接合表面106及/或110,其中之一或兩者可或可不經活化。
在一些情況下,基於氮之電漿製程可與分割帶極具反應性且導致接合表面污染,其可抵消電漿活化之益處。電漿製程(如灰化及活化中所使用)亦可與晶粒102或基板104上之金屬裝置層反應且改變金屬層自介電質表面之凹入。形成為氮電漿與聚合分割帶之相互作用之產物的化合物中之一些可吸附在所關注之接合表面上且污染該等接合表面(106、108,且在一些具體實例中,110)。
因此,在一些具體實例中,接合表面106及/或110中之至少一者可不經活化。在一些具體實例中,當分割帶存在或緊密接近時,各種組合之較溫和氧或氮電漿對修改表面(106、108,且在一些具體實例中,110)而言係較佳的。類似地,活化表面(106、108,且在一些具體實例中,110)可經進一步清潔。清潔步驟可包含利用去離子水之沖洗,其可與機械式刷洗、超高頻音波清潔及/或高壓洗滌組合。
在各種具體實例中,相較於本文中所描述之製程步驟,一些晶粒製程步驟可經修改或消除。
清潔及活化拾放工具上之晶粒
在一具體實例中,晶粒102由拾放工具(其通常包含選取工具及接合或放置工具)選擇,其可使晶粒102在將晶粒102放置在所製備基板104上以用於接合的路徑上經歷清潔製程。在一實施方案中,晶粒102在處於選取及/或放置工具上時經活化。在晶粒102處於工具上時執行之此類清潔及活化步驟可為以上描述之某些製程之添加或作為替代方案,但以上描述之步驟之某些細節可以類似方式執行。
作為一實例,晶粒102可由拾放工具攜載經過路徑上之濕式清潔台、大氣電漿、雷射爆炸或類似者達至基板104。在一些具體實例中,晶粒102在活化後及在接合之前不進行沖洗。在其他具體實例中,可在接合之前的製程步驟處用去離子水、所製備處方集或類似者來沖洗晶粒102。在一些具體實例中,自分割帶選取晶粒102,且藉由選取工具將該等晶粒翻轉至將晶粒102之背部表面110呈現至接合工具。選取工具之面部可與待接合之表面106直接接觸。接合工具頭耦接至晶粒102之背側110,且使晶粒102之所製備表面106與基板104之所製備表面108在一起以供接合。
在另其他具體實例中,自分割帶選取良裸晶粒(known good dies)102之所製備表面106,且藉由選取工具將該表面翻轉至將晶粒102之背側110呈現至接合工具。選取工具之面部可與待接合之表面106直接接觸。接合工具頭耦接至晶粒102之背側110,且使晶粒102(諸如圖1C處之晶粒102B)之所製備面部106與堆疊及接合至基板104之良裸晶粒102(諸如圖1C處之晶粒102A)的經曝露之所製備表面110在一起以供接合。
舉例而言,在清潔且活化第一晶粒102A之第二表面110及基板104之第一表面108後,可類似地自分割層選取第二良裸晶粒102B之所製備第一表面106,且將該所製備第一表面接合至第一晶粒102A之所製備第二表面110。多個良裸晶粒102(A至N)可堆疊在基板104上方或彼此上方,或其組合。
在另一個具體實例中,自分割帶選取所製備晶粒102,且藉由選取工具將該等晶粒翻轉至將晶粒102之背側110呈現至接合工具。接合工具頭耦接至晶粒102之背側110,且靜電裝置可用於在將晶粒102之所製備面部106附接至基板104之所製備表面108以用於接合之前自接合表面106移除微粒。另外,拾放工具可具有多孔性表面以接觸接合表面。
在其他實例中,可以掠射角將二氧化碳粒子或壓縮氣體(例如,氮氣)施加至所製備表面106以在接合之前自所製備表面106移除雜散微粒。在一些應用中,可施加熱壓縮氣體(例如,熱氮氣)以恰好在接合操作之前自所製備表面106移除雜散粒子或過量濕氣。壓縮氮之壓力可在20 psi至300 psi之間且較佳地在50 psi與150 psi之間的範圍。類似地,壓縮氣體(例如,氮氣)之溫度可在25℃至100℃之間且較佳地在50℃與90℃之間的範圍。流體表面清潔時間可在2毫秒至1000毫秒之間的範圍且較佳地低於200毫秒。
活化一個接合表面
在大部分上述實例中,在將晶粒102接合至基板104之前,基板104之所製備表面108及晶粒102之所製備表面106各自經電漿清潔(利用氧電漿之灰化係最常見製程)且活化。在某些具體實例中,然而,在將晶粒102接合至基板104之前,此等接合表面中之僅一者(例如,基板104之所製備表面108或晶粒102之所製備表面106)經電漿清潔及/或活化。
在具體實例中,消除晶粒102上或基板104上之表面灰化及活化製程可減少製程相關缺陷且提高良率,以及減小用於形成接合表面之成本。舉例而言,消除該等製程步驟可使得消除接合表面106由分割帶/電漿相互作用產物所致之污染物且減少或消除來自配線層之金屬損耗。另外,可使分割框架或夾環之損壞最小化,因此可延長框架或夾環之使用壽命。此外,當活化一個表面(106、108)而非兩個表面(106、108)時,在採用較少製程步驟之情況下且在接合能量不減少之情況下可提高處理量。隨著用於晶粒102清潔之電漿腔室之消除,總體擁有成本同樣減少。
清潔及製備基板替代具體實例
圖7A及7B之說明分別展示實例製程700及750,從而描述用於清潔及製備用於接合之基板104(製程700)及晶粒102(製程750)之替代製程。此外,儘管該等說明(及相關描述)描述將晶粒102接合至基板104,但製程可用於將晶圓接合至晶圓,將晶粒接合至晶粒,將晶粒接合至晶圓等等。另外,此等製程中描述之基板104亦可指已黏著至另一晶粒102或較大基板(諸如,晶圓)之晶粒102之背側。
參考圖7A,展示用於製備基板104之接合表面108之製程700。在區塊702處,基板104經製造,且在區塊704處,接合表面108以與關於圖2處之製程200所描述類似之方式形成於基板104上。舉例而言,製備接合表面108以用於在無黏合劑之情況下直接接合。在一些具體實例中,如較早所揭示,基板104之製造亦可包括形成接合表面108。
在區塊706處,基板104之接合表面108例如藉由利用溶劑之濕式製程或類似者進行清潔。緊接的為區塊708,其包括利用氧電漿(通常稱為灰化)或其他電漿化學物質之乾式清潔製程,以移除任何無機及有機污染物。舉例而言,電漿可經由大氣壓、下游或反應性離子蝕刻(RIE)製程而提供。基板104可經再清潔,其包括用去離子或弱鹼性的水或其他適合的溶液洗滌以移除來自灰化製程之任何粒子。在一些具體實例中,在儲存用於後續使用之基板104之前或在活化步驟之前,基板104之接合表面108可藉由清潔方法呈現為疏水性的。在區塊710處,舉例而言,使用氮電漿製程來活化接合表面108,以製備用於接合之基板表面108。在各種實例中,已知該活化製程改善接合強度。必要時,亦可用去離子水沖洗基板104以移除來自活化製程之潛在污染粒子。
清潔及製備晶粒替代具體實例
參考圖7B,展示用於製備一或多個晶粒102之一或多個接合表面106及/或110的製程750。在區塊752處,在晶圓上製造晶粒102,且在區塊754處,接合表面106形成及製備於晶粒102之表面上,如先前關於圖3處之製程300所描述。舉例而言,製備用於在無黏合劑之情況下直接接合之接合表面106。在區塊756處,亦如以上所描述,一或多個保護層可添加至接合表面106,且在區塊758處,晶圓經單粒化為複數個晶粒102。
在區塊760處,製程包括自接合表面106移除一或多個保護層。在區塊762處,使用化學處方集來清潔一或多個接合表面106,如下文進一步所描述。在一些情況下,可在晶粒102由框架或夾環保持在分割帶上時自晶粒102清除一或多個保護層,其亦清除由分割製程產生之殘渣。當使用UV釋放帶時,可根據需要在晶粒清潔製程之前或在晶粒清潔製程後執行減小晶粒102與該釋放帶之間的黏合強度以釋放晶粒102之UV曝露。
製程750(其包括在晶粒102處於框架或夾環上或處於聚合薄板上時清潔(或其他處理)該等晶粒)之優勢包括:消除來自氧及氮電漿步驟之聚合殘餘物;減少用於製造之處理步驟及循環次數;當已活化基板104時,可能不需要活化晶粒102;製程(其包括未活化晶粒之情況)之接合能量與類似製程相當,在該類似製程中晶粒102隨著基板104活化而經活化;及更高之所製造裝置處理量。
實例化學處方集
參考圖7B,在自單粒化晶粒102清除保護層後(且視情況在藉由電漿製程清潔晶粒102後),晶粒102可曝露於化學處方集一預定時間以清潔及製備用於接合之一或多個晶粒表面106及/或110。在該具體實例中,在有或沒有穩定添加劑之情況下,所製備處方集包含用甘油酸酯處理之經稀釋氫氟酸或經緩衝氫氟酸或氟化銨、有機酸及去離子水。在一些具體實例中,處方集可包含含有氟離子之無機或有機酸。較佳地,氟離子之含量小於2%且較佳地小於0.5%,且在一些情況下,較佳地小於0.1%。氟離子源之實例可包括氫氟酸、經緩衝氧化蝕刻劑、氟化銨或氟化四丁基銨。
處方集亦可包含脂族或非脂族有機酸,且超過一種有機酸可用於處方集中。處方集之有機酸含量可通常小於2%且較佳地小於1%,且較佳地小於0.1%。有機酸之實例可包括甲酸、乙酸、甲基磺酸及其類似物。在一些具體實例中,可使用礦物酸(例如,極少量之硫酸)。然而,所使用之量不應使接合表面106處之金屬層之表面粗糙化。
在各種具體實例中,甘油併入至處方集中,其中甘油之含量可在處方集之0.5%至25%之間變化,且較佳地低於10%。在其他應用中,極少量之醯胺、胺、丁基化羥基甲氧苯(butylated hydroxyanisole;BHA)、丁基化羥基甲苯或有機碳酸酯可添加至處方集。在其他具體實例中,處方集可為pH值較佳地小於9.5且較佳地小於8.5之弱鹼性。較佳地,此等額外添加劑之總含量小於5%且較佳地小於1%。
亦期望將抑制接合表面106處之金屬層之表面之移除或蝕刻或粗糙化的錯合劑併入至處方集中。在銅之情況下,例如,可使用具有一或多個三唑部分之適合的銅錯合劑。錯合劑之濃度可小於2%,且較佳地小於1%、0.2%,且小於100 ppm且在一些情況下小於5 ppm。在曝露至處方集後,晶粒102藉由選取工具放置且在未活化之情況下(及視情況在無灰化之情況下)接合至基板104。
在藉由處方集清潔後,晶粒102可經沖洗(例如,用去離子水),且可經活化。基於氮之RIE製程或下游電漿方法或其他包括電漿清潔步驟之原子層清潔方法可用於活化晶粒表面106及/或清潔來自所關注表面之任何殘存殘餘物或非所要材料。作為選擇方案,可在活化後用去離子水沖洗晶粒102。
在晶粒102形成及製備方法結束時,晶粒102可接合至基板104之所製備接合表面108(如圖1B中所說明)。對於如圖1C中所展示之多個晶粒堆疊配置,在將每一晶粒102A放置在基板104(或另一晶粒102N)上後,所放置晶粒102A之第二接合表面110(背側)可在將下一晶粒102B直接接合至先前晶粒102A之背側表面110之前經平坦化、清潔及活化(視需要且如上文所論述)。任何所添加之晶粒102(A至N)可具有製備好的接合表面106及/或110,其可或可不經活化。
形成疏水性接合表面
在另一個具體實例中,晶粒102或基板104或兩者之表面可藉由以上描述之清潔步驟中之一或多者呈現為疏水性。表面(106、108及/或110)自身呈現疏水性之一個優勢係疏水性表面可較不易沾染粒子污染物,且易於用諸如氮之壓縮液體、二氧化碳或二氧化碳粒子清潔。晶粒102(例如,在處於分割框架中時)之接合表面106或110或基板104之接合表面108可藉由將其非活化表面(106、108及/或110)曝露於以上描述之含有極稀氟離子之處方集、隨後沖洗並乾燥經曝露表面(106、108及/或110)而呈現為疏水性的。根據此技術,具有疏水性表面106及/或110之經清潔、未活化晶粒102可附接到所製備之經活化基板104(或另一晶粒102)之表面108以供接合。類似地,具有疏水性表面108之經清潔、未活化基板104可接合至一或多個經活化晶粒102之表面106。通常,表面(106、108及/或110)之氮活化往往會使表面(106、108及/或110)呈現親水性。將經配合表面(106、108及/或110)退火改善經配合材料之間的接合能量。通常,接合溫度越高,分離經配合材料所需之能量更高。
額外製程步驟減少
在另一具體實例中,在自單粒化晶粒102清除保護層後,晶粒102藉由拾放工具放置且在未曝露至處方集、灰化或活化之情況下接合至基板104。在各種具體實例中,在基板104經活化且晶粒102不經活化之情況下,所引起之直接接合之接合能量(或接合強度)對於DBI形成製程而言足夠。舉例而言,在一些具體實例中,接合能量為大致1000 mJ/m
2,其根據一些規格滿足用於適合的直接接合之最小接合能量要求。此外,消除某些氧及氮RIE步驟會消除可沉積在晶粒102表面上之分割帶/電漿反應副產物,以及額外製程步驟之成本及時間。
退火
作為用於全部所論述具體實例之接合製程中之最終步驟,晶粒102及基板104可加熱至高於環境溫度(經退火)以形成金屬對金屬接合。在此等具體實例中,退火製程之高溫使得接合層(106、108及/或110)中之金屬(例如,Cu)比包圍金屬之介電質材料(例如,氧化物)擴展更多。CTE之差異允許在室溫下可凹入在接合表面(106、108及/或110)以下之一或多個金屬層擴展以橋接介電質材料之兩個配合表面之間的間隙且在退火期間形成導電接合部。
在一個具體實例中,在每一晶粒102經放置後,多晶粒堆疊不經退火,而在堆疊之全部晶粒102經放置後,全部堆疊經退火。替代地,在每一晶粒102經放置後,可使用低溫退火。此外,清潔且製備經接合晶粒102之背部表面110以接受額外經清潔晶粒102或晶粒堆疊。具有多晶粒堆疊之基板104可在對各種接合介面處之相對金屬特徵而言之較高溫度下經熱退火以緊密配合。
在一些具體實例中,在所揭示製程後,基板104可藉由已知方法單粒化以形成包含直接接合至較小單粒化基板104(圖中未示)之晶粒102之新結構。在一個具體實例中,晶粒102之接合表面面積小於單粒化基板104之接合表面。
拾放工具製備
在各種實施方案中,拾放工具經設計或處理為使污染晶粒102之機會最小化。拾放工具(或其部分)可由經選擇用於所需疏水特性之材料形成(或塗佈有材料)。舉例而言,該等工具可塗佈有諸如聚四氟乙烯(
polytetrafluoroethylene ;PTFE)之材料或另一疏水性材料。
此外,工具可經製備(例如,具有結構設計、具有預定處理等)為抗污染的或避免傳遞污染物至晶粒102。如此,在自待接合之晶粒表面106及/或110選取時,面部選取製程(例如,選取所製備接合表面106及/或110處之晶粒102)並不降低接合品質。替代地,可以化學方式處理晶粒102之表面106及/或110從而具有疏水特性,以使來自選取工具之黏附至表面106及/或110之粒子減至最少。此外,在所需間距處,可清潔該拾放工具之表面以移除潛在晶粒表面污染物源。工具之一或多個表面可為多孔性的。
本文中所描述之技術、組件及裝置不限於圖1A至7B之說明,且可在不脫離本發明之範圍的情況下應用於包括其他電氣組件之其他設計、類型、配置及構造。在一些情況下,額外或替代組件、技術、序列或製程可用於實施本文中所描述之技術。此外,組件及/或技術可以各種組合配置及/或組合,同時引起類似或大致相同之結果。
結論
儘管已以特定針對於結構特徵及/或方法動作之語言描述了本發明之實施方案,但應理解,實施方案不一定限於所描述特定特徵或動作。確切而言,將特定特徵及動作揭示為實施實例裝置及技術之代表性形式。
102:晶粒
102A:晶粒
102B:晶粒
102A-N:晶粒
104:基板
106:接合表面
108:接合表面
110:接合表面
200:代表性製程
202:區塊
204:區塊
206:區塊
300:代表性製程
302:製程步驟
304:製程步驟
306:區塊
308:區塊
310:區塊
312:區塊
316:區塊
400:代表性製程
402:區塊
404:區塊
406:區塊
408:區塊
410:區塊
412:區塊
414:區塊
416:區塊
418:區塊
420:區塊
422:區塊
424:區塊
500:代表性製程
502:區塊
504:區塊
506:區塊
508:區塊
510:區塊
512:區塊
514:區塊
516:區塊
518:區塊
520:區塊
600:代表性製程
602:區塊
604:區塊
606:區塊
608:區塊
650:代表性製程
652:區塊
654:區塊
656:區塊
658:區塊
660:區塊
700:代表性製程
702:區塊
704:區塊
706:區塊
708:區塊
710:區塊
750:代表性製程
752:區塊
754:區塊
756:區塊
758:區塊
760:區塊
762:區塊
參考隨附圖式闡述詳細描述。在圖式中,元件符號之一或多個最左側數字識別首次出現該元件符號之圖式。在不同圖式中使用相同附圖標號指示類似或相同物件。
對此論述,在圖式中所說明之裝置及系統展示為具有大量組件。如本文中所描述,裝置及/或系統之各種實施方案可包括較少組件且保持在本發明之範圍內。替代地,裝置及/或系統之其他實施方案可包括額外組件或所描述組件之各種組合,且保持在本發明之範圍內。
[圖1A]展示在將晶粒接合至基板之前的基板及兩個晶粒之實例剖面圖。
[圖1B]展示在將晶粒接合至基板後的基板及兩個晶粒之實例剖面圖。
[圖1C]展示基板及將多個晶粒接合至該基板之實例剖面圖。
[圖2]係說明根據一具體實例之用於製備用於接合之基板的實例製程之流程圖。
[圖3]係說明根據一具體實例之用於製備用於接合之晶粒的實例製程之流程圖。
[圖4]係說明根據一具體實例之用於製備用於接合之晶粒的另一實例製程之流程圖。
[圖5]係說明根據一具體實例之用於製備用於接合之晶粒的另一實例製程之流程圖。
[圖6A]係說明根據一具體實例之用於製備用於接合之基板的實例製程之流程圖。
[圖6B]係說明根據一具體實例之用於製備用於接合之晶粒的實例製程之流程圖。
[圖7A]係說明根據一具體實例之用於製備用於接合之基板的另一實例製程之流程圖。
[圖7B]係說明根據一具體實例之用於製備用於接合之晶粒的另一實例製程之流程圖。
102A:晶粒
102B:晶粒
102A-N:晶粒
104:基板
106:接合表面
108:接合表面
110:接合表面
Claims (20)
- 一種形成微電子組裝件之方法,所述方法包括: 製備第一基板之接合表面; 製備第二基板之接合表面; 將所述第二基板安裝到切割支撐結構; 在將所述第二基板安裝到所述切割支撐結構時,將所述第二基板單粒化成多個晶粒,所述多個晶粒中的每個晶粒之接合表面包括所述第二基板之所述接合表面的一部分; 活化所述第一基板之所述接合表面;以及 將所述多個晶粒中的晶粒的所述接合表面直接接合到所述第一基板之所述接合表面而無需黏合劑, 其中,在直接接合之前以及在將所述晶粒安裝到所述切割支撐結構時,所述晶粒的所述接合表面不被活化。
- 根據請求項1所述的方法,其中,所述切割支撐結構包括切割帶。
- 根據請求項1所述的方法,還包括在將所述多個晶粒安裝到所述切割支撐結構時處理所述多個晶粒,前述處理包括清潔所述晶粒的所述接合表面。
- 根據請求項3所述的方法,其中活化所述第一基板的所述接合表面包括用第一電漿活化所述第一基板的所述接合表面。
- 根據請求項4所述的方法,其中處理所述多個晶粒包括用不同於所述第一電漿的非活化第二電漿清潔所述晶粒的所述接合表面。
- 根據請求項5所述的方法,其中,所述第一電漿包括氮電漿。
- 根據請求項6所述的方法,其中,所述第二電漿包括氧電漿。
- 根據請求項3所述的方法,還包括在單粒化所述第二基板之前,將保護塗層施加到所述第二基板的所述接合表面。
- 根據請求項8所述的方法,其中清潔所述晶粒的所述接合表面包括在所述單粒化之後,從所述晶粒的所述接合表面去除所述保護塗層。
- 根據請求項1所述的方法,還包括用接合工具接觸所述晶粒的所述接合表面來拾取所述晶粒。
- 根據請求項10所述的方法,還包括: 用接合工具接觸所述晶粒的背面,所述背面與所述晶粒的所述接合表面相對;以及 用所述接合工具將所述晶粒的所述接合表面直接接合至所述第一基板的所述接合表面。
- 根據請求項1所述的方法,其中將所述晶粒的所述接合表面直接接合到所述第一基板的所述接合表面包括將所述晶粒的所述接合表面直接接合到晶圓的接合表面。
- 根據請求項1所述的方法,其中將所述晶粒的所述接合表面直接接合到所述第一基板的所述接合表面包括將所述晶粒的所述接合表面直接接合到第二晶粒的接合表面。
- 一種形成微電子組裝件之方法,該方法包括: 製備第一基板的第一接合表面; 用第一接合工具接觸晶粒的第二接合表面並且從支撐件上移除所述晶粒; 用第二接合工具接觸所述晶粒的背面,所述背面與所述第二接合表面相對; 僅活化所述第一接合表面和所述第二接合表面中的一者;以及 使用所述第二接合工具將所述第一基板的所述第一接合表面直接接合到所述晶粒的所述第二接合表面而無需黏合劑,並且不活化所述第一接合表面和所述第二接合表面中的另一者。
- 根據請求項14所述的方法,還包括製備第二基板的接合表面,並且在製備所述第二基板的所述接合表面之後,將所述第二基板安裝到所述支撐件並且將所述第二基板單粒化成多個晶粒,所述多個晶粒包括前述晶粒。
- 根據請求項15所述的方法,還包括在將所述多個晶粒安裝到所述支撐件時處理所述多個晶粒,前述處理包括清潔所述晶粒的所述接合表面。
- 根據請求項16所述的方法,其中前述活化包括用第一電漿活化所述第一基板的所述第一接合表面,並且其中處理所述多個晶粒包括用不同於所述第一電漿的非活化第二電漿清潔所述晶粒的所述接合表面。
- 根據請求項17所述的方法,其中所述第一電漿包括氮電漿,並且其中所述第二電漿包括氧電漿。
- 根據請求項15所述的方法,還包括在單粒化所述第二基板之前,將保護塗層施加到所述第二基板的所述接合表面。
- 根據請求項19所述的方法,還包括在直接接合之前,去除所述保護塗層。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862631216P | 2018-02-15 | 2018-02-15 | |
US62/631,216 | 2018-02-15 | ||
US16/262,489 | 2019-01-30 | ||
US16/262,489 US10727219B2 (en) | 2018-02-15 | 2019-01-30 | Techniques for processing devices |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202249073A true TW202249073A (zh) | 2022-12-16 |
TWI836575B TWI836575B (zh) | 2024-03-21 |
Family
ID=67541023
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW113106101A TW202429529A (zh) | 2018-02-15 | 2019-02-11 | 用於處理裝置的技術 |
TW111132285A TWI836575B (zh) | 2018-02-15 | 2019-02-11 | 用於處理裝置的技術 |
TW108104470A TWI778223B (zh) | 2018-02-15 | 2019-02-11 | 用於處理裝置的技術 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW113106101A TW202429529A (zh) | 2018-02-15 | 2019-02-11 | 用於處理裝置的技術 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108104470A TWI778223B (zh) | 2018-02-15 | 2019-02-11 | 用於處理裝置的技術 |
Country Status (4)
Country | Link |
---|---|
US (3) | US10727219B2 (zh) |
CN (2) | CN111742398B (zh) |
TW (3) | TW202429529A (zh) |
WO (1) | WO2019160690A1 (zh) |
Families Citing this family (84)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7109092B2 (en) | 2003-05-19 | 2006-09-19 | Ziptronix, Inc. | Method of room temperature covalent bonding |
US7485968B2 (en) | 2005-08-11 | 2009-02-03 | Ziptronix, Inc. | 3D IC method and device |
US8735219B2 (en) | 2012-08-30 | 2014-05-27 | Ziptronix, Inc. | Heterogeneous annealing method and device |
US20150262902A1 (en) | 2014-03-12 | 2015-09-17 | Invensas Corporation | Integrated circuits protected by substrates with cavities, and methods of manufacture |
US11069734B2 (en) | 2014-12-11 | 2021-07-20 | Invensas Corporation | Image sensor device |
US9741620B2 (en) | 2015-06-24 | 2017-08-22 | Invensas Corporation | Structures and methods for reliable packages |
US10886250B2 (en) | 2015-07-10 | 2021-01-05 | Invensas Corporation | Structures and methods for low temperature bonding using nanoparticles |
US9953941B2 (en) | 2015-08-25 | 2018-04-24 | Invensas Bonding Technologies, Inc. | Conductive barrier direct hybrid bonding |
US10204893B2 (en) | 2016-05-19 | 2019-02-12 | Invensas Bonding Technologies, Inc. | Stacked dies and methods for forming bonded structures |
US10446487B2 (en) | 2016-09-30 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10580735B2 (en) | 2016-10-07 | 2020-03-03 | Xcelsis Corporation | Stacked IC structure with system level wiring on multiple sides of the IC die |
US10607136B2 (en) | 2017-08-03 | 2020-03-31 | Xcelsis Corporation | Time borrowing between layers of a three dimensional chip stack |
TW202414634A (zh) | 2016-10-27 | 2024-04-01 | 美商艾德亞半導體科技有限責任公司 | 用於低溫接合的結構和方法 |
US10002844B1 (en) | 2016-12-21 | 2018-06-19 | Invensas Bonding Technologies, Inc. | Bonded structures |
US20180182665A1 (en) | 2016-12-28 | 2018-06-28 | Invensas Bonding Technologies, Inc. | Processed Substrate |
TWI782939B (zh) | 2016-12-29 | 2022-11-11 | 美商英帆薩斯邦德科技有限公司 | 具有整合式被動構件的接合結構 |
US10629577B2 (en) | 2017-03-16 | 2020-04-21 | Invensas Corporation | Direct-bonded LED arrays and applications |
US10515913B2 (en) | 2017-03-17 | 2019-12-24 | Invensas Bonding Technologies, Inc. | Multi-metal contact structure |
US10508030B2 (en) | 2017-03-21 | 2019-12-17 | Invensas Bonding Technologies, Inc. | Seal for microelectronic assembly |
US10269756B2 (en) | 2017-04-21 | 2019-04-23 | Invensas Bonding Technologies, Inc. | Die processing |
US10879212B2 (en) | 2017-05-11 | 2020-12-29 | Invensas Bonding Technologies, Inc. | Processed stacked dies |
US10446441B2 (en) | 2017-06-05 | 2019-10-15 | Invensas Corporation | Flat metal features for microelectronics applications |
US10217720B2 (en) | 2017-06-15 | 2019-02-26 | Invensas Corporation | Multi-chip modules formed using wafer-level processing of a reconstitute wafer |
US10840205B2 (en) | 2017-09-24 | 2020-11-17 | Invensas Bonding Technologies, Inc. | Chemical mechanical polishing for hybrid bonding |
US11195748B2 (en) | 2017-09-27 | 2021-12-07 | Invensas Corporation | Interconnect structures and methods for forming same |
US11031285B2 (en) | 2017-10-06 | 2021-06-08 | Invensas Bonding Technologies, Inc. | Diffusion barrier collar for interconnects |
US11380597B2 (en) | 2017-12-22 | 2022-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures |
US10923408B2 (en) | 2017-12-22 | 2021-02-16 | Invensas Bonding Technologies, Inc. | Cavity packages |
US10727219B2 (en) | 2018-02-15 | 2020-07-28 | Invensas Bonding Technologies, Inc. | Techniques for processing devices |
US11169326B2 (en) | 2018-02-26 | 2021-11-09 | Invensas Bonding Technologies, Inc. | Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects |
US11056348B2 (en) | 2018-04-05 | 2021-07-06 | Invensas Bonding Technologies, Inc. | Bonding surfaces for microelectronics |
US10790262B2 (en) | 2018-04-11 | 2020-09-29 | Invensas Bonding Technologies, Inc. | Low temperature bonded structures |
US11244916B2 (en) | 2018-04-11 | 2022-02-08 | Invensas Bonding Technologies, Inc. | Low temperature bonded structures |
US10964664B2 (en) | 2018-04-20 | 2021-03-30 | Invensas Bonding Technologies, Inc. | DBI to Si bonding for simplified handle wafer |
JP7130323B2 (ja) * | 2018-05-14 | 2022-09-05 | 株式会社ディスコ | ウェーハの加工方法 |
JP7281873B2 (ja) | 2018-05-14 | 2023-05-26 | 株式会社ディスコ | ウェーハの加工方法 |
US11004757B2 (en) | 2018-05-14 | 2021-05-11 | Invensas Bonding Technologies, Inc. | Bonded structures |
US11276676B2 (en) | 2018-05-15 | 2022-03-15 | Invensas Bonding Technologies, Inc. | Stacked devices and methods of fabrication |
US10923413B2 (en) | 2018-05-30 | 2021-02-16 | Xcelsis Corporation | Hard IP blocks with physically bidirectional passageways |
US11393779B2 (en) | 2018-06-13 | 2022-07-19 | Invensas Bonding Technologies, Inc. | Large metal pads over TSV |
US11749645B2 (en) | 2018-06-13 | 2023-09-05 | Adeia Semiconductor Bonding Technologies Inc. | TSV as pad |
US10910344B2 (en) | 2018-06-22 | 2021-02-02 | Xcelsis Corporation | Systems and methods for releveled bump planes for chiplets |
WO2020010056A1 (en) | 2018-07-03 | 2020-01-09 | Invensas Bonding Technologies, Inc. | Techniques for joining dissimilar materials in microelectronics |
US11462419B2 (en) | 2018-07-06 | 2022-10-04 | Invensas Bonding Technologies, Inc. | Microelectronic assemblies |
WO2020010136A1 (en) | 2018-07-06 | 2020-01-09 | Invensas Bonding Technologies, Inc. | Molded direct bonded and interconnected stack |
US11515291B2 (en) | 2018-08-28 | 2022-11-29 | Adeia Semiconductor Inc. | Integrated voltage regulator and passive components |
US20200075533A1 (en) | 2018-08-29 | 2020-03-05 | Invensas Bonding Technologies, Inc. | Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes |
US11011494B2 (en) | 2018-08-31 | 2021-05-18 | Invensas Bonding Technologies, Inc. | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics |
US11158573B2 (en) | 2018-10-22 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Interconnect structures |
US11244920B2 (en) | 2018-12-18 | 2022-02-08 | Invensas Bonding Technologies, Inc. | Method and structures for low temperature device bonding |
CN113330557A (zh) | 2019-01-14 | 2021-08-31 | 伊文萨思粘合技术公司 | 键合结构 |
US11901281B2 (en) | 2019-03-11 | 2024-02-13 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structures with integrated passive component |
US10854578B2 (en) | 2019-03-29 | 2020-12-01 | Invensas Corporation | Diffused bitline replacement in stacked wafer memory |
US11373963B2 (en) | 2019-04-12 | 2022-06-28 | Invensas Bonding Technologies, Inc. | Protective elements for bonded structures |
US11610846B2 (en) | 2019-04-12 | 2023-03-21 | Adeia Semiconductor Bonding Technologies Inc. | Protective elements for bonded structures including an obstructive element |
US11205625B2 (en) | 2019-04-12 | 2021-12-21 | Invensas Bonding Technologies, Inc. | Wafer-level bonding of obstructive elements |
US11355404B2 (en) | 2019-04-22 | 2022-06-07 | Invensas Bonding Technologies, Inc. | Mitigating surface damage of probe pads in preparation for direct bonding of a substrate |
US11385278B2 (en) | 2019-05-23 | 2022-07-12 | Invensas Bonding Technologies, Inc. | Security circuitry for bonded structures |
US11296053B2 (en) | 2019-06-26 | 2022-04-05 | Invensas Bonding Technologies, Inc. | Direct bonded stack structures for increased reliability and improved yield in microelectronics |
US12080672B2 (en) | 2019-09-26 | 2024-09-03 | Adeia Semiconductor Bonding Technologies Inc. | Direct gang bonding methods including directly bonding first element to second element to form bonded structure without adhesive |
US12113054B2 (en) | 2019-10-21 | 2024-10-08 | Adeia Semiconductor Technologies Llc | Non-volatile dynamic random access memory |
US11862602B2 (en) | 2019-11-07 | 2024-01-02 | Adeia Semiconductor Technologies Llc | Scalable architecture for reduced cycles across SOC |
US11762200B2 (en) | 2019-12-17 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded optical devices |
US11876076B2 (en) | 2019-12-20 | 2024-01-16 | Adeia Semiconductor Technologies Llc | Apparatus for non-volatile random access memory stacks |
US11721653B2 (en) | 2019-12-23 | 2023-08-08 | Adeia Semiconductor Bonding Technologies Inc. | Circuitry for electrical redundancy in bonded structures |
CN115088068A (zh) | 2019-12-23 | 2022-09-20 | 伊文萨思粘合技术公司 | 用于接合结构的电冗余 |
US11817304B2 (en) * | 2019-12-30 | 2023-11-14 | Micron Technology, Inc. | Method of manufacturing microelectronic devices, related devices, systems, and apparatus |
KR20230003471A (ko) | 2020-03-19 | 2023-01-06 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 직접 결합된 구조체들을 위한 치수 보상 제어 |
US11742314B2 (en) | 2020-03-31 | 2023-08-29 | Adeia Semiconductor Bonding Technologies Inc. | Reliable hybrid bonded apparatus |
WO2021236361A1 (en) | 2020-05-19 | 2021-11-25 | Invensas Bonding Technologies, Inc. | Laterally unconfined structure |
US11631647B2 (en) | 2020-06-30 | 2023-04-18 | Adeia Semiconductor Bonding Technologies Inc. | Integrated device packages with integrated device die and dummy element |
WO2022000385A1 (zh) | 2020-07-01 | 2022-01-06 | 重庆康佳光电技术研究院有限公司 | 显示面板的制作方法、显示面板及显示装置 |
US11764177B2 (en) | 2020-09-04 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11728273B2 (en) | 2020-09-04 | 2023-08-15 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11264357B1 (en) | 2020-10-20 | 2022-03-01 | Invensas Corporation | Mixed exposure for large die |
KR20230095110A (ko) * | 2020-10-29 | 2023-06-28 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 직접 접합 방법 및 구조체 |
WO2022094587A1 (en) * | 2020-10-29 | 2022-05-05 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
US20220320035A1 (en) * | 2021-03-31 | 2022-10-06 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
CN113385989B (zh) * | 2021-06-10 | 2022-08-30 | 安徽光智科技有限公司 | 一种非粘接性的多片磨边滚圆方法 |
US20230045597A1 (en) * | 2021-08-04 | 2023-02-09 | Applied Materials, Inc. | Methods and apparatus for minimizing voids for chip on wafer components |
US20230115122A1 (en) * | 2021-09-14 | 2023-04-13 | Adeia Semiconductor Bonding Technologies Inc. | Method of bonding thin substrates |
FR3131469A1 (fr) * | 2021-12-23 | 2023-06-30 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procédé d’assemblage par collage direct de composants électroniques |
US20230268300A1 (en) * | 2022-02-24 | 2023-08-24 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structures |
US20240194635A1 (en) * | 2022-12-09 | 2024-06-13 | Applied Materials, Inc. | Integrated process sequence for hybrid bonding applications |
Family Cites Families (276)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2626408B1 (fr) | 1988-01-22 | 1990-05-11 | Thomson Csf | Capteur d'image a faible encombrement |
JPH07193294A (ja) | 1993-11-01 | 1995-07-28 | Matsushita Electric Ind Co Ltd | 電子部品およびその製造方法 |
KR960009074A (ko) | 1994-08-29 | 1996-03-22 | 모리시다 요이치 | 반도체 장치 및 그 제조방법 |
US6097096A (en) | 1997-07-11 | 2000-08-01 | Advanced Micro Devices | Metal attachment method and structure for attaching substrates at low temperatures |
FR2787241B1 (fr) | 1998-12-14 | 2003-01-31 | Ela Medical Sa | Composant microelectronique cms enrobe, notamment pour un dispositif medical implantable actif, et son procede de fabrication |
JP2002540623A (ja) | 1999-03-30 | 2002-11-26 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 半導体ウェーハ清浄装置および方法 |
JP3532788B2 (ja) | 1999-04-13 | 2004-05-31 | 唯知 須賀 | 半導体装置及びその製造方法 |
US6984571B1 (en) | 1999-10-01 | 2006-01-10 | Ziptronix, Inc. | Three dimensional device integration method and integrated device |
US6902987B1 (en) | 2000-02-16 | 2005-06-07 | Ziptronix, Inc. | Method for low temperature bonding and bonded structure |
JP3440057B2 (ja) | 2000-07-05 | 2003-08-25 | 唯知 須賀 | 半導体装置およびその製造方法 |
US6423640B1 (en) | 2000-08-09 | 2002-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Headless CMP process for oxide planarization |
TW522531B (en) | 2000-10-20 | 2003-03-01 | Matsushita Electric Ind Co Ltd | Semiconductor device, method of manufacturing the device and mehtod of mounting the device |
JP2002134658A (ja) * | 2000-10-24 | 2002-05-10 | Shinko Electric Ind Co Ltd | 半導体装置及びその製造方法 |
JP2002353416A (ja) | 2001-05-25 | 2002-12-06 | Sony Corp | 半導体記憶装置およびその製造方法 |
US6793759B2 (en) | 2001-10-09 | 2004-09-21 | Dow Corning Corporation | Method for creating adhesion during fabrication of electronic devices |
US6887769B2 (en) | 2002-02-06 | 2005-05-03 | Intel Corporation | Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same |
US6762076B2 (en) | 2002-02-20 | 2004-07-13 | Intel Corporation | Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices |
JP2004063751A (ja) * | 2002-07-29 | 2004-02-26 | Fuji Photo Film Co Ltd | 固体撮像素子およびその製造方法 |
US7105980B2 (en) | 2002-07-03 | 2006-09-12 | Sawtek, Inc. | Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics |
JP4083502B2 (ja) | 2002-08-19 | 2008-04-30 | 株式会社フジミインコーポレーテッド | 研磨方法及びそれに用いられる研磨用組成物 |
US6822326B2 (en) | 2002-09-25 | 2004-11-23 | Ziptronix | Wafer bonding hermetic encapsulation |
US7023093B2 (en) | 2002-10-24 | 2006-04-04 | International Business Machines Corporation | Very low effective dielectric constant interconnect Structures and methods for fabricating the same |
US6962835B2 (en) | 2003-02-07 | 2005-11-08 | Ziptronix, Inc. | Method for room temperature metal direct bonding |
US6908027B2 (en) | 2003-03-31 | 2005-06-21 | Intel Corporation | Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process |
US7109092B2 (en) | 2003-05-19 | 2006-09-19 | Ziptronix, Inc. | Method of room temperature covalent bonding |
GB2404280B (en) | 2003-07-03 | 2006-09-27 | Xsil Technology Ltd | Die bonding |
JP3980539B2 (ja) | 2003-08-29 | 2007-09-26 | 唯知 須賀 | 基板接合方法、照射方法、および基板接合装置 |
US6867073B1 (en) | 2003-10-21 | 2005-03-15 | Ziptronix, Inc. | Single mask via method and device |
US20060057945A1 (en) | 2004-09-16 | 2006-03-16 | Chia-Lin Hsu | Chemical mechanical polishing process |
TWI303864B (en) | 2004-10-26 | 2008-12-01 | Sanyo Electric Co | Semiconductor device and method for making the same |
US7485968B2 (en) | 2005-08-11 | 2009-02-03 | Ziptronix, Inc. | 3D IC method and device |
JP2007081037A (ja) | 2005-09-13 | 2007-03-29 | Disco Abrasive Syst Ltd | デバイスおよびその製造方法 |
US20070075417A1 (en) | 2005-10-05 | 2007-04-05 | Samsung Electro-Mechanics Co., Ltd. | MEMS module package using sealing cap having heat releasing capability and manufacturing method thereof |
US7550366B2 (en) | 2005-12-02 | 2009-06-23 | Ayumi Industry | Method for bonding substrates and device for bonding substrates |
US7193423B1 (en) | 2005-12-12 | 2007-03-20 | International Business Machines Corporation | Wafer-to-wafer alignments |
JP4160083B2 (ja) | 2006-04-11 | 2008-10-01 | シャープ株式会社 | 光学装置用モジュール及び光学装置用モジュールの製造方法 |
US7750488B2 (en) | 2006-07-10 | 2010-07-06 | Tezzaron Semiconductor, Inc. | Method for bonding wafers to produce stacked integrated circuits |
JP2008130603A (ja) | 2006-11-16 | 2008-06-05 | Toshiba Corp | イメージセンサ用ウェハレベルパッケージ及びその製造方法 |
JP4840174B2 (ja) | 2007-02-08 | 2011-12-21 | パナソニック株式会社 | 半導体チップの製造方法 |
US7803693B2 (en) | 2007-02-15 | 2010-09-28 | John Trezza | Bowed wafer hybridization compensation |
US7919410B2 (en) | 2007-03-14 | 2011-04-05 | Aptina Imaging Corporation | Packaging methods for imager devices |
JP2008244080A (ja) | 2007-03-27 | 2008-10-09 | Sharp Corp | 半導体素子の製造方法 |
TWI332790B (en) | 2007-06-13 | 2010-11-01 | Ind Tech Res Inst | Image sensor module with a three-dimensional dies-stacking structure |
US7781306B2 (en) * | 2007-06-20 | 2010-08-24 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor substrate and method for manufacturing the same |
KR101413380B1 (ko) * | 2007-08-28 | 2014-06-30 | 쓰리엠 이노베이티브 프로퍼티즈 캄파니 | 반도체 다이의 제조방법, 상기 방법으로 제조된 반도체다이를 포함하는 반도체 소자 |
US20090127667A1 (en) | 2007-11-21 | 2009-05-21 | Powertech Technology Inc. | Semiconductor chip device having through-silicon-via (TSV) and its fabrication method |
JP2011513995A (ja) * | 2008-03-07 | 2011-04-28 | スリーエム イノベイティブ プロパティズ カンパニー | 模様付き裏材を備えるダイシングテープ及びダイアタッチ接着剤 |
KR20090106822A (ko) | 2008-04-07 | 2009-10-12 | 삼성전자주식회사 | 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체 |
US8349635B1 (en) | 2008-05-20 | 2013-01-08 | Silicon Laboratories Inc. | Encapsulated MEMS device and method to form the same |
FR2931585B1 (fr) | 2008-05-26 | 2010-09-03 | Commissariat Energie Atomique | Traitement de surface par plasma d'azote dans un procede de collage direct |
US20090320875A1 (en) | 2008-06-25 | 2009-12-31 | Applied Materials, Inc. | Dual chamber megasonic cleaner |
US8193632B2 (en) | 2008-08-06 | 2012-06-05 | Industrial Technology Research Institute | Three-dimensional conducting structure and method of fabricating the same |
US9893004B2 (en) | 2011-07-27 | 2018-02-13 | Broadpak Corporation | Semiconductor interposer integration |
FR2938202B1 (fr) * | 2008-11-07 | 2010-12-31 | Soitec Silicon On Insulator | Traitement de surface pour adhesion moleculaire |
US8168458B2 (en) | 2008-12-08 | 2012-05-01 | Stats Chippac, Ltd. | Semiconductor device and method of forming bond wires and stud bumps in recessed region of peripheral area around the device for electrical interconnection to other devices |
US8476165B2 (en) | 2009-04-01 | 2013-07-02 | Tokyo Electron Limited | Method for thinning a bonding wafer |
US8263434B2 (en) | 2009-07-31 | 2012-09-11 | Stats Chippac, Ltd. | Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP |
FR2950734B1 (fr) * | 2009-09-28 | 2011-12-09 | Soitec Silicon On Insulator | Procede de collage et de transfert d'une couche |
US8482132B2 (en) | 2009-10-08 | 2013-07-09 | International Business Machines Corporation | Pad bonding employing a self-aligned plated liner for adhesion enhancement |
JP2011104633A (ja) | 2009-11-19 | 2011-06-02 | Stanley Electric Co Ltd | スクライブ方法 |
JP2011128140A (ja) | 2009-11-19 | 2011-06-30 | Dainippon Printing Co Ltd | センサデバイス及びその製造方法 |
US8525340B2 (en) * | 2010-06-11 | 2013-09-03 | Premitec, Inc. | Flexible electronic devices and related methods |
JP5807221B2 (ja) | 2010-06-28 | 2015-11-10 | アユミ工業株式会社 | 接合構造体製造方法および加熱溶融処理方法ならびにこれらのシステム |
JP5517800B2 (ja) | 2010-07-09 | 2014-06-11 | キヤノン株式会社 | 固体撮像装置用の部材および固体撮像装置の製造方法 |
SG177816A1 (en) * | 2010-07-15 | 2012-02-28 | Soitec Silicon On Insulator | Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods |
US8481406B2 (en) | 2010-07-15 | 2013-07-09 | Soitec | Methods of forming bonded semiconductor structures |
FR2963158B1 (fr) | 2010-07-21 | 2013-05-17 | Commissariat Energie Atomique | Procede d'assemblage par collage direct entre deux elements comprenant des portions de cuivre et de materiaux dielectriques |
US8791575B2 (en) | 2010-07-23 | 2014-07-29 | Tessera, Inc. | Microelectronic elements having metallic pads overlying vias |
FR2966283B1 (fr) | 2010-10-14 | 2012-11-30 | Soi Tec Silicon On Insulator Tech Sa | Procede pour realiser une structure de collage |
US8377798B2 (en) | 2010-11-10 | 2013-02-19 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and structure for wafer to wafer bonding in semiconductor packaging |
US8620164B2 (en) | 2011-01-20 | 2013-12-31 | Intel Corporation | Hybrid III-V silicon laser formed by direct bonding |
JP5682327B2 (ja) | 2011-01-25 | 2015-03-11 | ソニー株式会社 | 固体撮像素子、固体撮像素子の製造方法、及び電子機器 |
US20120194719A1 (en) | 2011-02-01 | 2012-08-02 | Scott Churchwell | Image sensor units with stacked image sensors and image processors |
WO2012133760A1 (ja) | 2011-03-30 | 2012-10-04 | ボンドテック株式会社 | 電子部品実装方法、電子部品実装システムおよび基板 |
US8716105B2 (en) | 2011-03-31 | 2014-05-06 | Soitec | Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods |
US8501537B2 (en) | 2011-03-31 | 2013-08-06 | Soitec | Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods |
US8618659B2 (en) | 2011-05-03 | 2013-12-31 | Tessera, Inc. | Package-on-package assembly with wire bonds to encapsulation surface |
KR102084337B1 (ko) | 2011-05-24 | 2020-04-23 | 소니 주식회사 | 반도체 장치 |
US9252172B2 (en) | 2011-05-31 | 2016-02-02 | Stats Chippac, Ltd. | Semiconductor device and method of forming EWLB semiconductor package with vertical interconnect structure and cavity region |
JP5982748B2 (ja) | 2011-08-01 | 2016-08-31 | ソニー株式会社 | 半導体装置、半導体装置の製造方法、および電子機器 |
US8697493B2 (en) | 2011-07-18 | 2014-04-15 | Soitec | Bonding surfaces for direct bonding of semiconductor structures |
US8552567B2 (en) | 2011-07-27 | 2013-10-08 | Micron Technology, Inc. | Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication |
US8441131B2 (en) | 2011-09-12 | 2013-05-14 | Globalfoundries Inc. | Strain-compensating fill patterns for controlling semiconductor chip package interactions |
US9123830B2 (en) * | 2011-11-11 | 2015-09-01 | Sumitomo Bakelite Co., Ltd. | Manufacturing method for semiconductor device |
FR2987626B1 (fr) | 2012-03-05 | 2015-04-03 | Commissariat Energie Atomique | Procede de collage direct utilisant une couche poreuse compressible |
CN103377911B (zh) | 2012-04-16 | 2016-09-21 | 中国科学院微电子研究所 | 提高化学机械平坦化工艺均匀性的方法 |
US9368674B2 (en) | 2012-04-16 | 2016-06-14 | Koninklijke Philips N.V. | Method and apparatus for creating a W-mesa street |
JP5664592B2 (ja) | 2012-04-26 | 2015-02-04 | 信越半導体株式会社 | 貼り合わせウェーハの製造方法 |
US9048283B2 (en) | 2012-06-05 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding systems and methods for semiconductor wafers |
US9142517B2 (en) | 2012-06-05 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding mechanisms for semiconductor wafers |
US8809123B2 (en) | 2012-06-05 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers |
US20140001949A1 (en) | 2012-06-29 | 2014-01-02 | Nitto Denko Corporation | Phosphor layer-covered led, producing method thereof, and led device |
US8735219B2 (en) | 2012-08-30 | 2014-05-27 | Ziptronix, Inc. | Heterogeneous annealing method and device |
US9136293B2 (en) | 2012-09-07 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus for sensor module |
TW201423873A (zh) * | 2012-12-03 | 2014-06-16 | Powertech Technology Inc | 包含晶圓級撿晶之覆晶接合方法 |
US8878353B2 (en) | 2012-12-20 | 2014-11-04 | Invensas Corporation | Structure for microelectronic packaging with bond elements to encapsulation surface |
US20140175655A1 (en) | 2012-12-22 | 2014-06-26 | Industrial Technology Research Institute | Chip bonding structure and manufacturing method thereof |
US8946784B2 (en) | 2013-02-18 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for image sensor packaging |
US8802538B1 (en) | 2013-03-15 | 2014-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for hybrid wafer bonding |
US9443796B2 (en) | 2013-03-15 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Air trench in packages incorporating hybrid bonding |
JP6157911B2 (ja) | 2013-04-17 | 2017-07-05 | 富士通株式会社 | 光半導体装置 |
JP6224509B2 (ja) * | 2013-05-14 | 2017-11-01 | 信越化学工業株式会社 | ウエハ用仮接着材料、それらを用いた仮接着用フィルム、及びウエハ加工体並びにそれらを使用した薄型ウエハの製造方法 |
US9064937B2 (en) | 2013-05-30 | 2015-06-23 | International Business Machines Corporation | Substrate bonding with diffusion barrier structures |
US9929050B2 (en) | 2013-07-16 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure |
US8860229B1 (en) | 2013-07-16 | 2014-10-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bonding with through substrate via (TSV) |
US9723716B2 (en) | 2013-09-27 | 2017-08-01 | Infineon Technologies Ag | Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure |
US9257399B2 (en) | 2013-10-17 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D integrated circuit and methods of forming the same |
JP2015115446A (ja) | 2013-12-11 | 2015-06-22 | 株式会社東芝 | 半導体装置の製造方法 |
US9437572B2 (en) | 2013-12-18 | 2016-09-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive pad structure for hybrid bonding and methods of forming same |
US9887162B2 (en) * | 2013-12-18 | 2018-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Molding structure for wafer level package |
US9018079B1 (en) | 2014-01-29 | 2015-04-28 | Applied Materials, Inc. | Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean |
US20150255349A1 (en) | 2014-03-07 | 2015-09-10 | JAMES Matthew HOLDEN | Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes |
US20150262902A1 (en) | 2014-03-12 | 2015-09-17 | Invensas Corporation | Integrated circuits protected by substrates with cavities, and methods of manufacture |
US9230941B2 (en) | 2014-03-28 | 2016-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonding structure for stacked semiconductor devices |
US9299736B2 (en) | 2014-03-28 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding with uniform pattern density |
US9472458B2 (en) | 2014-06-04 | 2016-10-18 | Semiconductor Components Industries, Llc | Method of reducing residual contamination in singulated semiconductor die |
US9142459B1 (en) | 2014-06-30 | 2015-09-22 | Applied Materials, Inc. | Wafer dicing using hybrid laser scribing and plasma etch approach with mask application by vacuum lamination |
KR102275705B1 (ko) | 2014-07-11 | 2021-07-09 | 삼성전자주식회사 | 웨이퍼 대 웨이퍼 접합 구조 |
JP2016072316A (ja) | 2014-09-29 | 2016-05-09 | 日立オートモティブシステムズ株式会社 | 半導体装置の製造方法 |
US9536848B2 (en) | 2014-10-16 | 2017-01-03 | Globalfoundries Inc. | Bond pad structure for low temperature flip chip bonding |
CN105589587B (zh) * | 2014-10-21 | 2018-10-26 | 宸鸿科技(厦门)有限公司 | 透明复合基板与其制备方法及触控面板 |
JP6367084B2 (ja) | 2014-10-30 | 2018-08-01 | 株式会社東芝 | 半導体チップの接合方法及び半導体チップの接合装置 |
US9394161B2 (en) | 2014-11-14 | 2016-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | MEMS and CMOS integration with low-temperature bonding |
US11069734B2 (en) | 2014-12-11 | 2021-07-20 | Invensas Corporation | Image sensor device |
US9899442B2 (en) | 2014-12-11 | 2018-02-20 | Invensas Corporation | Image sensor device |
US9971777B2 (en) | 2014-12-18 | 2018-05-15 | International Business Machines Corporation | Smart archiving of real-time performance monitoring data |
JP6738591B2 (ja) | 2015-03-13 | 2020-08-12 | 古河電気工業株式会社 | 半導体ウェハの処理方法、半導体チップおよび表面保護テープ |
US9738516B2 (en) * | 2015-04-29 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure to reduce backside silicon damage |
US9741620B2 (en) | 2015-06-24 | 2017-08-22 | Invensas Corporation | Structures and methods for reliable packages |
US9656852B2 (en) | 2015-07-06 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company Ltd. | CMOS-MEMS device structure, bonding mesa structure and associated method |
US10886250B2 (en) | 2015-07-10 | 2021-01-05 | Invensas Corporation | Structures and methods for low temperature bonding using nanoparticles |
US10075657B2 (en) | 2015-07-21 | 2018-09-11 | Fermi Research Alliance, Llc | Edgeless large area camera system |
US9728521B2 (en) | 2015-07-23 | 2017-08-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bond using a copper alloy for yield improvement |
CN106409650B (zh) | 2015-08-03 | 2019-01-29 | 沈阳硅基科技有限公司 | 一种硅片直接键合方法 |
US9946084B2 (en) * | 2015-08-10 | 2018-04-17 | Corning Incorporated | Methods for making optical devices |
US9559081B1 (en) | 2015-08-21 | 2017-01-31 | Apple Inc. | Independent 3D stacking |
US9953941B2 (en) | 2015-08-25 | 2018-04-24 | Invensas Bonding Technologies, Inc. | Conductive barrier direct hybrid bonding |
US9496239B1 (en) | 2015-12-11 | 2016-11-15 | International Business Machines Corporation | Nitride-enriched oxide-to-oxide 3D wafer bonding |
US9852988B2 (en) | 2015-12-18 | 2017-12-26 | Invensas Bonding Technologies, Inc. | Increased contact alignment tolerance for direct bonding |
US9923011B2 (en) | 2016-01-12 | 2018-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with stacked semiconductor dies |
US10446532B2 (en) | 2016-01-13 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Systems and methods for efficient transfer of semiconductor elements |
JP6746920B2 (ja) * | 2016-01-15 | 2020-08-26 | 東洋紡株式会社 | フレキシブル電子デバイスの製造方法 |
US10026716B2 (en) | 2016-04-15 | 2018-07-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3DIC formation with dies bonded to formed RDLs |
US10204893B2 (en) | 2016-05-19 | 2019-02-12 | Invensas Bonding Technologies, Inc. | Stacked dies and methods for forming bonded structures |
KR102505856B1 (ko) | 2016-06-09 | 2023-03-03 | 삼성전자 주식회사 | 웨이퍼 대 웨이퍼 접합 구조체 |
US9941241B2 (en) | 2016-06-30 | 2018-04-10 | International Business Machines Corporation | Method for wafer-wafer bonding |
US9892961B1 (en) | 2016-08-09 | 2018-02-13 | International Business Machines Corporation | Air gap spacer formation for nano-scale semiconductor devices |
US10446487B2 (en) | 2016-09-30 | 2019-10-15 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10607136B2 (en) | 2017-08-03 | 2020-03-31 | Xcelsis Corporation | Time borrowing between layers of a three dimensional chip stack |
US10580735B2 (en) | 2016-10-07 | 2020-03-03 | Xcelsis Corporation | Stacked IC structure with system level wiring on multiple sides of the IC die |
US10672663B2 (en) | 2016-10-07 | 2020-06-02 | Xcelsis Corporation | 3D chip sharing power circuit |
US10163750B2 (en) | 2016-12-05 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package structure for heat dissipation |
US10453832B2 (en) | 2016-12-15 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal ring structures and methods of forming same |
US10002844B1 (en) | 2016-12-21 | 2018-06-19 | Invensas Bonding Technologies, Inc. | Bonded structures |
US20180182665A1 (en) | 2016-12-28 | 2018-06-28 | Invensas Bonding Technologies, Inc. | Processed Substrate |
EP3563411B1 (en) | 2016-12-28 | 2021-04-14 | Invensas Bonding Technologies, Inc. | Method of processing a substrate on a temporary substrate |
TWI782939B (zh) | 2016-12-29 | 2022-11-11 | 美商英帆薩斯邦德科技有限公司 | 具有整合式被動構件的接合結構 |
US20180190583A1 (en) | 2016-12-29 | 2018-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures with integrated passive component |
US10276909B2 (en) | 2016-12-30 | 2019-04-30 | Invensas Bonding Technologies, Inc. | Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein |
US10431614B2 (en) | 2017-02-01 | 2019-10-01 | Semiconductor Components Industries, Llc | Edge seals for semiconductor packages |
EP3580166A4 (en) | 2017-02-09 | 2020-09-02 | Invensas Bonding Technologies, Inc. | RELATED STRUCTURES |
US10629577B2 (en) | 2017-03-16 | 2020-04-21 | Invensas Corporation | Direct-bonded LED arrays and applications |
US10515913B2 (en) | 2017-03-17 | 2019-12-24 | Invensas Bonding Technologies, Inc. | Multi-metal contact structure |
US10508030B2 (en) | 2017-03-21 | 2019-12-17 | Invensas Bonding Technologies, Inc. | Seal for microelectronic assembly |
JP6640780B2 (ja) | 2017-03-22 | 2020-02-05 | キオクシア株式会社 | 半導体装置の製造方法および半導体装置 |
WO2018183739A1 (en) | 2017-03-31 | 2018-10-04 | Invensas Bonding Technologies, Inc. | Interface structures and methods for forming same |
US10269756B2 (en) | 2017-04-21 | 2019-04-23 | Invensas Bonding Technologies, Inc. | Die processing |
US10580823B2 (en) | 2017-05-03 | 2020-03-03 | United Microelectronics Corp. | Wafer level packaging method |
US10879212B2 (en) | 2017-05-11 | 2020-12-29 | Invensas Bonding Technologies, Inc. | Processed stacked dies |
US10446441B2 (en) | 2017-06-05 | 2019-10-15 | Invensas Corporation | Flat metal features for microelectronics applications |
US10217720B2 (en) | 2017-06-15 | 2019-02-26 | Invensas Corporation | Multi-chip modules formed using wafer-level processing of a reconstitute wafer |
CN107331759A (zh) * | 2017-08-21 | 2017-11-07 | 厦门华联电子股份有限公司 | 免有机胶的晶圆级封装方法和led倒装芯片封装体 |
US10840205B2 (en) | 2017-09-24 | 2020-11-17 | Invensas Bonding Technologies, Inc. | Chemical mechanical polishing for hybrid bonding |
US11195748B2 (en) | 2017-09-27 | 2021-12-07 | Invensas Corporation | Interconnect structures and methods for forming same |
US11031285B2 (en) | 2017-10-06 | 2021-06-08 | Invensas Bonding Technologies, Inc. | Diffusion barrier collar for interconnects |
US11251157B2 (en) | 2017-11-01 | 2022-02-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Die stack structure with hybrid bonding structure and method of fabricating the same and package |
US10672820B2 (en) | 2017-11-23 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bonded structure |
US20190196208A1 (en) | 2017-12-11 | 2019-06-27 | North Inc. | Wavelength combiner photonic integrated circuit with grating coupling of lasers |
US11011503B2 (en) | 2017-12-15 | 2021-05-18 | Invensas Bonding Technologies, Inc. | Direct-bonded optoelectronic interconnect for high-density integrated photonics |
US10923408B2 (en) | 2017-12-22 | 2021-02-16 | Invensas Bonding Technologies, Inc. | Cavity packages |
US11380597B2 (en) | 2017-12-22 | 2022-07-05 | Invensas Bonding Technologies, Inc. | Bonded structures |
US11127738B2 (en) | 2018-02-09 | 2021-09-21 | Xcelsis Corporation | Back biasing of FD-SOI circuit blocks |
JP6900006B2 (ja) | 2018-02-14 | 2021-07-07 | 東芝デバイス&ストレージ株式会社 | チップ移載部材、チップ移載装置、およびチップ移載方法 |
US10727219B2 (en) * | 2018-02-15 | 2020-07-28 | Invensas Bonding Technologies, Inc. | Techniques for processing devices |
US11169326B2 (en) | 2018-02-26 | 2021-11-09 | Invensas Bonding Technologies, Inc. | Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects |
US11256004B2 (en) | 2018-03-20 | 2022-02-22 | Invensas Bonding Technologies, Inc. | Direct-bonded lamination for improved image clarity in optical devices |
US10991804B2 (en) | 2018-03-29 | 2021-04-27 | Xcelsis Corporation | Transistor level interconnection methodologies utilizing 3D interconnects |
US11056348B2 (en) | 2018-04-05 | 2021-07-06 | Invensas Bonding Technologies, Inc. | Bonding surfaces for microelectronics |
US10790262B2 (en) | 2018-04-11 | 2020-09-29 | Invensas Bonding Technologies, Inc. | Low temperature bonded structures |
US10964664B2 (en) | 2018-04-20 | 2021-03-30 | Invensas Bonding Technologies, Inc. | DBI to Si bonding for simplified handle wafer |
US11398258B2 (en) | 2018-04-30 | 2022-07-26 | Invensas Llc | Multi-die module with low power operation |
US10403577B1 (en) | 2018-05-03 | 2019-09-03 | Invensas Corporation | Dielets on flexible and stretchable packaging for microelectronics |
US11004757B2 (en) | 2018-05-14 | 2021-05-11 | Invensas Bonding Technologies, Inc. | Bonded structures |
US11276676B2 (en) | 2018-05-15 | 2022-03-15 | Invensas Bonding Technologies, Inc. | Stacked devices and methods of fabrication |
IT201800005778A1 (it) | 2018-05-28 | 2019-11-28 | Dispositivo microfluidico per l'espulsione di fluidi, in particolare per la stampa con inchiostri, e relativo procedimento di fabbricazione | |
US10923413B2 (en) | 2018-05-30 | 2021-02-16 | Xcelsis Corporation | Hard IP blocks with physically bidirectional passageways |
WO2019241367A1 (en) | 2018-06-12 | 2019-12-19 | Invensas Bonding Technologies, Inc. | Interlayer connection of stacked microelectronic components |
US11749645B2 (en) | 2018-06-13 | 2023-09-05 | Adeia Semiconductor Bonding Technologies Inc. | TSV as pad |
US11393779B2 (en) | 2018-06-13 | 2022-07-19 | Invensas Bonding Technologies, Inc. | Large metal pads over TSV |
US10910344B2 (en) | 2018-06-22 | 2021-02-02 | Xcelsis Corporation | Systems and methods for releveled bump planes for chiplets |
US10937755B2 (en) | 2018-06-29 | 2021-03-02 | Advanced Micro Devices, Inc. | Bond pads for low temperature hybrid bonding |
WO2020010056A1 (en) | 2018-07-03 | 2020-01-09 | Invensas Bonding Technologies, Inc. | Techniques for joining dissimilar materials in microelectronics |
US11462419B2 (en) | 2018-07-06 | 2022-10-04 | Invensas Bonding Technologies, Inc. | Microelectronic assemblies |
WO2020010136A1 (en) | 2018-07-06 | 2020-01-09 | Invensas Bonding Technologies, Inc. | Molded direct bonded and interconnected stack |
US20200035641A1 (en) | 2018-07-26 | 2020-01-30 | Invensas Bonding Technologies, Inc. | Post cmp processing for hybrid bonding |
US11515291B2 (en) | 2018-08-28 | 2022-11-29 | Adeia Semiconductor Inc. | Integrated voltage regulator and passive components |
US20200075533A1 (en) | 2018-08-29 | 2020-03-05 | Invensas Bonding Technologies, Inc. | Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes |
US11011494B2 (en) | 2018-08-31 | 2021-05-18 | Invensas Bonding Technologies, Inc. | Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics |
US11158573B2 (en) | 2018-10-22 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Interconnect structures |
US11244920B2 (en) | 2018-12-18 | 2022-02-08 | Invensas Bonding Technologies, Inc. | Method and structures for low temperature device bonding |
CN113330557A (zh) | 2019-01-14 | 2021-08-31 | 伊文萨思粘合技术公司 | 键合结构 |
US11387202B2 (en) | 2019-03-01 | 2022-07-12 | Invensas Llc | Nanowire bonding interconnect for fine-pitch microelectronics |
US11901281B2 (en) | 2019-03-11 | 2024-02-13 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structures with integrated passive component |
GB2582388A (en) | 2019-03-22 | 2020-09-23 | Cirrus Logic Int Semiconductor Ltd | Composite structures |
US10854578B2 (en) | 2019-03-29 | 2020-12-01 | Invensas Corporation | Diffused bitline replacement in stacked wafer memory |
US11205625B2 (en) | 2019-04-12 | 2021-12-21 | Invensas Bonding Technologies, Inc. | Wafer-level bonding of obstructive elements |
US11373963B2 (en) | 2019-04-12 | 2022-06-28 | Invensas Bonding Technologies, Inc. | Protective elements for bonded structures |
US11610846B2 (en) | 2019-04-12 | 2023-03-21 | Adeia Semiconductor Bonding Technologies Inc. | Protective elements for bonded structures including an obstructive element |
US11355404B2 (en) | 2019-04-22 | 2022-06-07 | Invensas Bonding Technologies, Inc. | Mitigating surface damage of probe pads in preparation for direct bonding of a substrate |
US11385278B2 (en) | 2019-05-23 | 2022-07-12 | Invensas Bonding Technologies, Inc. | Security circuitry for bonded structures |
US20200395321A1 (en) | 2019-06-12 | 2020-12-17 | Invensas Bonding Technologies, Inc. | Sealed bonded structures and methods for forming the same |
US11296053B2 (en) | 2019-06-26 | 2022-04-05 | Invensas Bonding Technologies, Inc. | Direct bonded stack structures for increased reliability and improved yield in microelectronics |
US12080672B2 (en) | 2019-09-26 | 2024-09-03 | Adeia Semiconductor Bonding Technologies Inc. | Direct gang bonding methods including directly bonding first element to second element to form bonded structure without adhesive |
US12113054B2 (en) | 2019-10-21 | 2024-10-08 | Adeia Semiconductor Technologies Llc | Non-volatile dynamic random access memory |
US11862602B2 (en) | 2019-11-07 | 2024-01-02 | Adeia Semiconductor Technologies Llc | Scalable architecture for reduced cycles across SOC |
US11762200B2 (en) | 2019-12-17 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded optical devices |
US11876076B2 (en) | 2019-12-20 | 2024-01-16 | Adeia Semiconductor Technologies Llc | Apparatus for non-volatile random access memory stacks |
US11721653B2 (en) | 2019-12-23 | 2023-08-08 | Adeia Semiconductor Bonding Technologies Inc. | Circuitry for electrical redundancy in bonded structures |
CN115088068A (zh) | 2019-12-23 | 2022-09-20 | 伊文萨思粘合技术公司 | 用于接合结构的电冗余 |
US20210242152A1 (en) | 2020-02-05 | 2021-08-05 | Invensas Bonding Technologies, Inc. | Selective alteration of interconnect pads for direct bonding |
KR20230003471A (ko) | 2020-03-19 | 2023-01-06 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 직접 결합된 구조체들을 위한 치수 보상 제어 |
US11742314B2 (en) | 2020-03-31 | 2023-08-29 | Adeia Semiconductor Bonding Technologies Inc. | Reliable hybrid bonded apparatus |
WO2021236361A1 (en) | 2020-05-19 | 2021-11-25 | Invensas Bonding Technologies, Inc. | Laterally unconfined structure |
US11631647B2 (en) | 2020-06-30 | 2023-04-18 | Adeia Semiconductor Bonding Technologies Inc. | Integrated device packages with integrated device die and dummy element |
US11764177B2 (en) | 2020-09-04 | 2023-09-19 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11728273B2 (en) | 2020-09-04 | 2023-08-15 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with interconnect structure |
US11264357B1 (en) | 2020-10-20 | 2022-03-01 | Invensas Corporation | Mixed exposure for large die |
KR20230095110A (ko) | 2020-10-29 | 2023-06-28 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 직접 접합 방법 및 구조체 |
WO2022094587A1 (en) | 2020-10-29 | 2022-05-05 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
WO2022147430A1 (en) | 2020-12-28 | 2022-07-07 | Invensas Bonding Technologies, Inc. | Structures with through-substrate vias and methods for forming the same |
EP4268273A4 (en) | 2020-12-28 | 2024-10-23 | Adeia Semiconductor Bonding Tech Inc | STRUCTURES WITH THROUGH-THROUGH-SUBSTRATE VIA HOLES AND METHODS OF FORMING THE SAME |
WO2022147460A1 (en) | 2020-12-30 | 2022-07-07 | Invensas Bonding Technologies, Inc. | Directly bonded structures |
US20220208702A1 (en) | 2020-12-30 | 2022-06-30 | Invensas Bonding Technologies, Inc. | Structure with conductive feature and method of forming same |
JP2024513304A (ja) | 2021-03-03 | 2024-03-25 | アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド | 直接接合のためのコンタクト構造 |
JP2024515033A (ja) | 2021-03-31 | 2024-04-04 | アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド | 担体の直接ボンディング及び剥離 |
JP2024515032A (ja) | 2021-03-31 | 2024-04-04 | アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド | 担体の直接接合及び剥離 |
US20220320035A1 (en) | 2021-03-31 | 2022-10-06 | Invensas Bonding Technologies, Inc. | Direct bonding methods and structures |
EP4364194A1 (en) | 2021-06-30 | 2024-05-08 | Adeia Semiconductor Bonding Technologies Inc. | Element with routing structure in bonding layer |
JP2024530539A (ja) | 2021-07-16 | 2024-08-22 | アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド | 接合構造のための光学的妨害保護素子 |
KR20240036698A (ko) | 2021-08-02 | 2024-03-20 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 결합 구조체를 위한 보호 반도체 소자 |
US20230067677A1 (en) | 2021-09-01 | 2023-03-02 | Invensas Bonding Technologies, Inc. | Sequences and equipment for direct bonding |
EP4396872A1 (en) | 2021-09-01 | 2024-07-10 | Adeia Semiconductor Technologies LLC | Stacked structure with interposer |
US20230115122A1 (en) | 2021-09-14 | 2023-04-13 | Adeia Semiconductor Bonding Technologies Inc. | Method of bonding thin substrates |
US20230100032A1 (en) | 2021-09-24 | 2023-03-30 | Adeia Semiconductor Bonding Technologies Inc. | Bonded structure with active interposer |
CN118235239A (zh) | 2021-10-18 | 2024-06-21 | 美商艾德亚半导体科技有限责任公司 | 结合结构中的降低的寄生电容 |
WO2023069912A1 (en) | 2021-10-19 | 2023-04-27 | Adeia Semiconductor Bonding Technologies Inc. | Stacked inductors in multi-die stacking |
WO2023070033A1 (en) | 2021-10-22 | 2023-04-27 | Adeia Semiconductor Technologies Llc | Radio frequency device packages |
US20230187412A1 (en) | 2021-10-25 | 2023-06-15 | Adeia Semiconductor Bonding Technologies Inc. | Power distribution for stacked electronic devices |
US20230125395A1 (en) | 2021-10-27 | 2023-04-27 | Adeia Semiconductor Bonding Technologies Inc. | Stacked structures with capacitive coupling connections |
US20230142680A1 (en) | 2021-10-28 | 2023-05-11 | Adeia Semiconductor Bonding Technologies Inc. | Stacked electronic devices |
CN118435345A (zh) | 2021-10-28 | 2024-08-02 | 美商艾德亚半导体接合科技有限公司 | 扩散势垒及其形成方法 |
US20230140107A1 (en) | 2021-10-28 | 2023-05-04 | Adeia Semiconductor Bonding Technologies Inc. | Direct bonding methods and structures |
CN118202460A (zh) | 2021-11-05 | 2024-06-14 | 美商艾德亚半导体接合科技有限公司 | 多沟道器件堆叠 |
WO2023091430A1 (en) | 2021-11-17 | 2023-05-25 | Adeia Semiconductor Bonding Technologies Inc. | Thermal bypass for stacked dies |
CN118613910A (zh) | 2021-11-18 | 2024-09-06 | 美商艾德亚半导体接合科技有限公司 | 用于裸片堆叠的流体冷却 |
US20230187264A1 (en) | 2021-12-13 | 2023-06-15 | Adeia Semiconductor Technologies Llc | Methods for bonding semiconductor elements |
CN118613904A (zh) | 2021-12-13 | 2024-09-06 | 美商艾德亚半导体接合科技有限公司 | 互连结构 |
EP4449492A1 (en) | 2021-12-17 | 2024-10-23 | Adeia Semiconductor Bonding Technologies Inc. | Structure with conductive feature for direct bonding and method of forming same |
WO2023122509A1 (en) | 2021-12-20 | 2023-06-29 | Adeia Semiconductor Bonding Technologies Inc. | Thermoelectric cooling for die packages |
WO2023122513A1 (en) | 2021-12-20 | 2023-06-29 | Adeia Semiconductor Bonding Technologies Inc. | Direct bonding and debonding of elements |
US20230197560A1 (en) | 2021-12-20 | 2023-06-22 | Adeia Semiconductor Bonding Technologies Inc. | Thermoelectric cooling in microelectronics |
KR20240126868A (ko) | 2021-12-22 | 2024-08-21 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 낮은 스트레스 직접 하이브리드 접합 |
KR20240128928A (ko) | 2021-12-23 | 2024-08-27 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 다이 접합 제어를 위한 장치 및 방법 |
KR20240130111A (ko) | 2021-12-23 | 2024-08-28 | 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 | 상호연결 어셈블리를 가진 결합 구조체 |
WO2023122732A1 (en) | 2021-12-23 | 2023-06-29 | Adeia Semiconductor Bonding Technologies Inc. | Direct bonding on package substrates |
US20230207402A1 (en) | 2021-12-27 | 2023-06-29 | Adeia Semiconductor Bonding Technologies Inc. | Directly bonded frame wafers |
-
2019
- 2019-01-30 US US16/262,489 patent/US10727219B2/en active Active
- 2019-01-31 WO PCT/US2019/015985 patent/WO2019160690A1/en active Application Filing
- 2019-01-31 CN CN201980013695.8A patent/CN111742398B/zh active Active
- 2019-01-31 CN CN202110686074.5A patent/CN113410133A/zh active Pending
- 2019-02-11 TW TW113106101A patent/TW202429529A/zh unknown
- 2019-02-11 TW TW111132285A patent/TWI836575B/zh active
- 2019-02-11 TW TW108104470A patent/TWI778223B/zh active
-
2020
- 2020-07-02 US US16/919,989 patent/US11037919B2/en active Active
-
2021
- 2021-06-10 US US17/344,100 patent/US11855064B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
WO2019160690A1 (en) | 2019-08-22 |
TWI836575B (zh) | 2024-03-21 |
US11037919B2 (en) | 2021-06-15 |
TW202429529A (zh) | 2024-07-16 |
CN111742398A (zh) | 2020-10-02 |
US20210375850A1 (en) | 2021-12-02 |
CN111742398B (zh) | 2021-07-09 |
US20200365575A1 (en) | 2020-11-19 |
CN113410133A (zh) | 2021-09-17 |
TWI778223B (zh) | 2022-09-21 |
US10727219B2 (en) | 2020-07-28 |
TW201937584A (zh) | 2019-09-16 |
US11855064B2 (en) | 2023-12-26 |
US20190252364A1 (en) | 2019-08-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI778223B (zh) | 用於處理裝置的技術 | |
US12068278B2 (en) | Processed stacked dies | |
TWI744443B (zh) | 堆疊基板的處理 | |
TWI748080B (zh) | 晶粒處理 | |
US20220139869A1 (en) | Direct bonding methods and structures |