TW201842223A - 原子層沉積之多孔體的抗電漿塗佈 - Google Patents

原子層沉積之多孔體的抗電漿塗佈 Download PDF

Info

Publication number
TW201842223A
TW201842223A TW107102845A TW107102845A TW201842223A TW 201842223 A TW201842223 A TW 201842223A TW 107102845 A TW107102845 A TW 107102845A TW 107102845 A TW107102845 A TW 107102845A TW 201842223 A TW201842223 A TW 201842223A
Authority
TW
Taiwan
Prior art keywords
oxide
layer
resistant coating
porous
plasma resistant
Prior art date
Application number
TW107102845A
Other languages
English (en)
Other versions
TWI748046B (zh
Inventor
維希德 菲路茲朵爾
蘇曼思 班達
拉吉德 汀德沙
丹尼爾 比恩
丹那瑪麗 拉佛爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201842223A publication Critical patent/TW201842223A/zh
Application granted granted Critical
Publication of TWI748046B publication Critical patent/TWI748046B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本文描述了使用原子層沉積(ALD)製程將抗電漿塗層沉積到多孔腔室部件的表面上並沉積到此多孔腔室部件內的孔隙壁上的製品、系統和方法。多孔腔室部件可以包括多孔主體,多孔主體包括多孔主體內的複數個孔隙,複數個孔隙各自包括孔隙壁。多孔主體對氣體是可滲透的。抗電漿塗層可以包含Y2 O3 -ZrO2 固溶體並且可以具有約5 nm至約3 µm的厚度,並且可以保護孔隙壁不受侵蝕。具有抗電漿塗層的多孔主體保持對氣體是可滲透的。

Description

原子層沉積之多孔體的抗電漿塗佈
本揭示內容的實施方式涉及製品、經塗覆的腔室部件和利用抗電漿塗層塗覆腔室部件的方法。抗電漿塗層可以包括高純度氧化物層,此氧化物層塗覆多孔部件的全部表面,在此部件內包括孔隙壁。可選地,抗電漿塗層可以包括含稀土金屬的氧化物層和/或氧化鋁層。塗層使用非視線技術(諸如原子層沉積)形成。
各種製造製程將半導體製程腔室部件暴露至高溫、高能量電漿、腐蝕性氣體的混合物、高應力和它們的組合。此等極端條件可能侵蝕和/或腐蝕腔室部件,從而增加腔室部件易受缺陷的影響。期望減少此等缺陷並改良部件在此類極端環境中的抗侵蝕性和/或抗腐蝕性。
保護性塗層通常藉由各種方法(諸如熱噴塗、濺射、離子輔助沉積(IAD)、電漿噴塗或蒸發技術)沉積在腔室部件上。此等技術一般無法將塗層沉積到此類腔室部件內的孔隙的孔隙壁上。
本文中所述的一些實施方式涉及經塗覆的多孔製品(例如,來自靜電夾盤的多孔插塞)。此製品包括:多孔主體,此多孔主體包括此多孔主體內的複數個孔隙,此複數個孔隙各自包括孔隙壁。多孔主體對氣體是可滲透的。此製品進一步包括在多孔主體的表面上和在此多孔主體內的複數個孔隙的孔隙壁上的抗電漿塗層。抗電漿塗層可以具有約5 nm至約3 µm的厚度。抗電漿塗層保護孔隙壁不受侵蝕。具有抗電漿塗層的多孔主體保持對氣體是可滲透的。
在一些實施方式中,一種方法包括執行原子層沉積以在包括複數個孔隙的多孔腔室部件上沉積抗電漿塗層,此複數個孔隙各自包括孔隙壁。多孔主體對氣體是可滲透的。執行原子層沉積包括將抗電漿塗層沉積到多孔腔室部件的表面上並且將此抗電漿塗層沉積到此多孔腔室部件內的複數個孔隙的孔隙壁上。抗電漿塗層可以具有約5 nm至約3 µm的厚度,其中此抗電漿塗層保護孔隙壁不受侵蝕,並且其中在執行原子層沉積之後,具有此抗電漿塗層的多孔腔室部件保持對氣體是可滲透的。
在一些實施方式中,一種方法包括將複數個多孔陶瓷插塞一起載入沉積腔室中。複數個多孔陶瓷插塞中的多孔陶瓷插塞對氣體是可滲透的並且包括複數個孔隙,此複數個孔隙各自包括孔隙壁。此方法進一步包括執行原子層沉積以同時在複數個多孔陶瓷插塞上沉積氧化鋁塗層。對此複數個多孔陶瓷插塞中的多孔陶瓷插塞執行原子層沉積包括將氧化鋁塗層沉積到此多孔陶瓷插塞的表面上並且將此氧化鋁塗層沉積到此多孔陶瓷插塞內的複數個孔隙的孔隙壁上。氧化鋁塗層可以具有約5 nm至約3 µm的厚度。氧化鋁塗層保護孔隙壁不受侵蝕,並且在執行原子層沉積之後,具有抗電漿塗層的多孔陶瓷插塞保持對氣體是可滲透的。
本文中所述的實施方式涵蓋製品、經塗覆的腔室部件和將抗電漿塗層沉積到此等製品的多孔陶瓷主體內的孔隙的孔隙壁上的方法。抗電漿塗層可以是高純度金屬氧化物層(例如,高純度氧化鋁)或含稀土金屬的氧化物層(例如,含釔的氧化物層)。抗電漿塗層另外可以是包括一層或多層金屬氧化物層以及一層或多層含稀土金屬的氧化物層的多層塗層。如本文所使用,術語抗電漿表示對至少一種類型的氣體的電漿以及至少一種類型的氣體的化學劑和自由基的抗性。製品可以是多孔陶瓷材料。沉積製程是非視線製程,諸如原子層沉積(ALD)製程。
在一些實施方式中,抗電漿塗層的厚度可以是約5 nm至約300 nm。抗電漿塗層可以保形地覆蓋腔室部件的表面以及腔室部件內的孔隙的具有實質上均勻的厚度的孔隙壁。在一個實施方式中,抗電漿塗層具有對以均勻厚度塗覆的下層表面(包括經塗覆的孔隙壁)的保形覆蓋,均勻厚度具有小於+/-20%的厚度變化,或小於+/-10%的厚度變化,或小於+/-5%的厚度變化,或更低的厚度變化。
本文中所述的實施方式使得能夠利用抗電漿塗層有效地塗覆多孔陶瓷主體(諸如用於靜電夾盤的多孔陶瓷插塞)內的孔隙壁。多孔陶瓷主體可以對一種或多種氣體是可滲透的。孔隙壁上的抗電漿塗層可以保護孔隙壁不受一種或多種氣體侵蝕而不堵塞多孔陶瓷主體。由此,多孔陶瓷主體可以在塗覆抗電漿塗層之後保持對一種或多種氣體是可滲透的。抗電漿塗層也是緻密的,具有約0%的孔隙率(例如,在實施方式中,抗電漿塗層可以是無孔隙率的)。抗電漿塗層可以抵抗來自電漿蝕刻化學劑(諸如CCl4 /CHF3 電漿蝕刻化學劑、HCl3 Si蝕刻化學劑和NF3 蝕刻化學劑)的腐蝕和侵蝕。
ALD允許材料經由與製品的表面的化學反應而執行的受控自我限制沉積。除了是保形製程之外,ALD也是均勻製程。製品的全部的暴露側面(包括高深寬比特徵(例如,約3:1至300:1))將具有相同或近似相同的量的所沉積材料。如本文所闡述,在多孔陶瓷主體內的孔隙的內壁也使用ALD製程塗覆而不堵塞多孔陶瓷主體或降低多孔陶瓷主體的滲透率。ALD製程的典型反應循環開始於前驅物(亦即,單一化學劑A)、流動至ALD腔室中並吸附至製品表面(包括在此製品內的孔隙壁的表面)上。接著,在將反應物(亦即,單一化學劑R)引入ALD腔室中並接著沖洗掉之前,將多餘的前驅物從ALD腔室沖洗掉。對於ALD,由於每個反應循環都將生長特定厚度的層(其可以是一個原子層或原子層的一部分),材料的最終厚度取決於執行的反應循環的數量。
不像通常用於在具有高深寬比特徵(例如,孔隙)的多孔部件上沉積塗層的其他技術,諸如電漿噴塗和離子輔助沉積,ALD技術可以在此類特徵內(亦即,在多孔部件內的孔隙的孔隙壁上)沉積材料層。另外,ALD技術產生無孔隙率(亦即,無針孔)的相對薄(亦即,1 µm或更小)的塗層,此可減弱在沉積期間的裂縫形成。如本文所使用的術語「無孔隙率」表示如由透射電子顯微鏡(TEM)量測的沿著塗層的整個深度都不存在任何孔隙、針孔、孔洞或裂縫。TEM可以使用藉由聚焦的離子束研磨製備的100 nm厚的TEM薄層執行,其中在亮視野、暗視野或高解析度模式中TEM以200 kV操作。相比之下,利用常規的電子束IAD或電漿噴塗技術,不會塗覆在多孔部件內的孔隙的孔隙壁。相反,以覆蓋和堵塞孔隙以及降低或減弱多孔部件的滲透率的方式塗覆多孔部件表面。
多孔製程腔室部件(諸如用於靜電夾盤(ESC)的插塞)將受益於具有此等抗電漿塗層以在苛刻蝕刻環境中保護部件,同時不影響它們的效能。常規沉積方法也可產生降低插塞的孔隙率並且由此影響它們的效能的塗層。插塞對至少一些氣體是可滲透的並且被設計以過濾氣體顆粒或阻擋自由基穿透至ESC的空腔並且防止在ESC中點燃次級電漿。因此,一些實施方式的目的是維持插塞的孔隙率和/或滲透率。本文中所述的實施方式實現利用抗電漿塗層塗覆多孔陶瓷製品(諸如先前所提及的多孔腔室部件)的內孔隙壁,此抗電漿塗層保護製品而不影響它們的孔隙率或滲透率。
1 是根據實施方式的具有一個或多個利用抗電漿塗層塗覆的腔室部件的半導體處理腔室100的截面圖。處理腔室100可以用於其中提供具有電漿處理條件的腐蝕性電漿環境的製程。例如,處理腔室100可以是用於電漿蝕刻器或電漿蝕刻反應器、電漿清潔器、電漿增強的CVD或ALD反應器等等的腔室。可包括抗電漿塗層的腔室部件的實例包括靜電夾盤 (ESC) 150的多孔陶瓷插塞。下文更詳細描述的抗電漿塗層藉由ALD施加。ALD允許在全部類型部件上施加無孔隙率的實質上均勻的厚度的保形塗層,此全部類型部件包括具有複雜形狀和有高深寬比的特徵的多孔部件。
抗電漿塗層可以利用用於金屬氧化物層的前驅物(諸如含鋁前驅物)使用ALD而生長或沉積。抗電漿塗層可以額外或替代地利用用於沉積含稀土金屬的氧化物或與一種或多種額外氧化物結合地共沉積含稀土金屬的氧化物以形成含稀土金屬的氧化物層的一種或多種前驅物使用ALD而生長或沉積。在一個實施方式中,含稀土金屬的氧化物層具有多晶結構。或者,含稀土金屬的氧化物層可以具有無晶形結構。含稀土金屬的氧化物可以包括釔、鉭、鋯和/或鉺。例如,含稀土金屬的氧化物可以是氧化釔(Y2 O3 )、氧化鉺(Er2 O3 )、氧化鋯(ZrO2 )、氧化鉭(Ta2 O5 )等等。在實施方式中,含稀土金屬的氧化物是多晶氧化釔。在其他實施方式中,含稀土金屬的氧化物是無晶形氧化釔。含稀土金屬的氧化物也可包括與一種或多種稀土元素(諸如釔、鋯和/或鉺)混合的鋁。可與含稀土金屬的氧化物共沉積以形成含稀土金屬的氧化物層的額外氧化物(或多種額外氧化物)可以包括氧化鋯(ZrO2 )、氧化鋁(Al2 O3 )、氧化鉺(Er2 O3 )或它們的組合。用於多層抗電漿塗層的含釔氧化物層可以是例如Yx Zry Oz 、Ya Zrx Aly Oz 、Yx Aly Oz 或Yx Ery Oz 。含釔氧化物可以是具有Yttriaite的氧化釔(Y2 O3 ),Yttriaite具有帶有空間群Ia-3 (206)的立方結構。
在一個實施方式中,含稀土金屬的氧化物層是Y2 O3 、Er2 O3 、Y3 Al5 O12 (YAG)、Er3 Al5 O12 (EAG)或Y4 Al2 O9 (YAM)之一。含稀土金屬的氧化物層也可以是YAlO3 (YAP)、Er4 Al2 O9 (EAM)、Era lO3 (EAP)、Y2 O3 -ZrO2 固溶體和/或包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物。
參考Y2 O3 -ZrO2 固溶體,含稀土金屬的氧化物層可以包含以10-90莫耳比(mol%)的濃度的Y2 O3 和呈10-90 mol%的濃度的ZrO2 。在一些實例中,Y2 O3 -ZrO2 固溶體可以包含10-20 mol%的Y2 O3 和80-90 mol%的ZrO2 、可以包含20-30 mol%的Y2 O3 和70-80 mol%的ZrO2 、可以包含30-40 mol%的Y2 O3 和60-70 mol%的ZrO2 、可以包含40- 50 mol%的Y2 O3 和50-60 mol%的ZrO2 、可以包含60-70 mol%的Y2 O3 和30-40 mol%的ZrO2 、可以包含70-80 mol%的Y2 O3 和20-30 mol%的ZrO2 、可以包含80-90 mol%的Y2 O3 和10-20 mol%的ZrO2 等等。
參考包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物,在一個實施方式中,陶瓷化合物包含62.93莫耳比(mol%)的Y2 O3 、23.23 mol%的ZrO2 和13.94 mol%的Al2 O3 。在另一實施方式中,陶瓷化合物可以包含在50-75 mol%的範圍內的Y2 O3 、在10-30 mol%的範圍內的ZrO2 和在10-30 mol%的範圍內的Al2 O3 。在另一實施方式中,陶瓷化合物可以包含在40-100 mol%的範圍內的Y2 O3 、在0.1-60 mol%的範圍內的ZrO2 和在0.1-10 mol%的範圍內的Al2 O3 。在另一實施方式中,陶瓷化合物可以包含在40-60 mol%的範圍內的Y2 O3 、在30-50 mol%的範圍內的ZrO2 和在10-20 mol%的範圍內的Al2 O3 。在另一實施方式中,陶瓷化合物可以包含在40-50 mol%的範圍內的Y2 O3 、在20-40 mol%的範圍內的ZrO2 和在20-40 mol%的範圍內的Al2 O3 。在另一實施方式中,陶瓷化合物可以包含在70-90 mol%的範圍內的Y2 O3 、在0.1-20 mol%的範圍內的ZrO2 和在10-20 mol%的範圍內的Al2 O3 。在另一實施方式中,陶瓷化合物可以包括在60-80 mol%的範圍內的Y2 O3 、在0.1-10 mol%的範圍內的ZrO2 和在20-40 mol%的範圍內的Al2 O3 。在另一實施方式中,陶瓷化合物可以包含在40-60 mol%的範圍內的Y2 O3 、在0.1-20 mol%的範圍內的ZrO2 和在30-40 mol%的範圍內的Al2 O3 。在其他實施方式中,其他分配也可用於陶瓷化合物。
在一個實施方式中,包含Y2 O3 、ZrO2 、Er2 O3 、Gd2 O3 和SiO2 的組合的替代陶瓷化合物用於含稀土金屬的氧化物層。在一個實施方式中,替代陶瓷化合物可以包含在40-45 mol%的範圍內的Y2 O3 、在0-10 mol%的範圍內的ZrO2 、在35-40 mol%的範圍內的Er2 O3 、在5-10 mol%的範圍內的Gd2 O3 和在5-15 mol%的範圍內的SiO2 。在第一實例中,替代陶瓷化合物包含40 mol%的Y2 O3 、5 mol%的ZrO2 、35 mol%的Er2 O3 、5 mol%的Gd2 O3 和15 mol%的SiO2 。在第二實例中,替代陶瓷化合物包含45 mol%的Y2 O3 、5 mol%的ZrO2 、35 mol%的Er2 O3 、10 mol%的Gd2 O3 和5 mol%的SiO2 。在第三實例中,替代陶瓷化合物包含40 mol%的Y2 O3 、5 mol%的ZrO2 、40 mol%的Er2 O3 、7 mol%的Gd2 O3 和8 mol%的SiO2
先前提及的含稀土金屬的氧化物層中的任一者可以包括痕量的其他材料,諸如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物。
金屬氧化物層可以包括高純度鋁氧化物或相似的材料,當單獨使用時,此種相似材料保護部件不受至少一些電漿的電漿腐蝕。此舉也改良了含稀土金屬的氧化物層(當使用時)對腔室部件的黏附力並提供了對在實施方式中在達約350℃的溫度下或在約200℃或從約200℃至約350℃的溫度下抗電漿塗層發生斷裂和分層的熱阻。
在一個實施方式中,處理腔室100包括封閉內部容積106的腔室主體102和噴頭130。噴頭130可以包括噴頭基部和噴頭氣體分配板。或者,在一些實施方式中,噴頭130可以由蓋和噴嘴替代,或者在其他實施方式中,由多個餅形噴頭隔室和電漿產生單元替代。腔室主體102可以由鋁、不銹鋼或其他合適材料製造。腔室主體102一般包括側壁108和底部110。
外部襯裡116可鄰近側壁108設置以保護腔室主體102。外部襯裡116可以利用雙層塗層製造和/或塗覆。在一個實施方式中,外部襯裡116由氧化鋁製造。
排氣口126可以限定在腔室主體102中,並且可以將內部容積106耦接至泵系統128。泵系統128可以包括用於抽空和調節處理腔室100的內部容積106的壓力的一個或多個泵和節流閥。
噴頭130可以支撐在腔室主體102的側壁108上。噴頭130(或蓋)可打開以允許接入處理腔室100的內部容積106,並且可以在關閉時為處理腔室100提供密封。氣體面板158可耦接至處理腔室100以經由噴頭130或蓋和噴嘴向內部容積106提供製程和/或清潔氣體。噴頭130可以用於處理腔室,處理腔室用於介電蝕刻(介電材料蝕刻)。噴頭130包括氣體分配板(GDP) 133,GDP 133具有貫穿GDP 133的多個氣體輸送孔132。噴頭130可以包括黏結至鋁基部或陽極化鋁基部的GDP 133。GDP 133可以由Si或SiC製成,或可以是陶瓷,諸如Y2 O3 、Al2 O3 、Y3 Al5 O12 (YAG)等等。
對於用於導體蝕刻(導電材料蝕刻)的處理腔室,可以使用蓋而非噴頭。蓋可以包括中心噴嘴,中心噴嘴適配至蓋的中心孔中。蓋可以是陶瓷,諸如Al2 O3 、Y2 O3 、YAG或包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物。噴嘴也可以是陶瓷,諸如Y2 O3 、YAG或包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物。
可以用於在處理腔室100中處理基板的處理氣體的實例包括含鹵素的氣體(諸如尤其是C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、Cl2 、CCl4 、BCl3 和SiF4 )和其他氣體(諸如O2 或N2 O)。載體氣體的實例包括N2 、He、Ar和對製程氣體惰性的其他氣體(例如,非反應性氣體)。基板支撐組件148設置在處理腔室100在噴頭130或蓋之下的內部容積106中。基板支撐組件148在處理期間會固持基板144。環146(例如,單一環)可以覆蓋靜電夾盤150的一部分,並且可保護所覆蓋的部分在處理期間不暴露於電漿。在一個實施方式中,環146可以是矽或石英。
內部襯裡118可以塗覆在基板支撐組件148的周邊上。內部襯裡118可以是含鹵素的氣體抗蝕劑材料,諸如參考外部襯裡116所論述的彼等。在一個實施方式中,內部襯裡118可以由與外部襯裡116相同的材料製造。
在一個實施方式中,基板支撐組件148包括支撐基座152的安裝板162和靜電夾盤150。靜電夾盤150進一步包括了導熱基部164和藉由黏結劑138黏結至導熱基部的靜電定位盤166,黏結劑在一個實施方式中可以是矽氧烷黏結劑。在所圖示的實施方式中,靜電定位盤166的上表面可以由抗電漿塗層136覆蓋。抗電漿塗層136可以設置在靜電夾盤150的整個暴露表面上,包括導熱基部164和靜電定位盤166的外周邊和側周邊以及在靜電夾盤中具有大深寬比的任何其他複雜幾何形狀的部件或孔。在一個實施方式中,抗電漿塗層塗覆插入靜電定位盤166中的一個或多個孔中的陶瓷插塞(未圖示)內的孔隙的孔隙壁。陶瓷插塞在下文中關於 4A 4C 更詳細地描述。安裝板162被耦接至腔室主體102的底部110並且包括用於將設施(例如,流體、電力線路、感測器引線、等等)佈線至導熱基部164和靜電定位盤166的通道。
導熱基部164和/或靜電夾盤166可包括一個或多個可選的嵌入式加熱元件176、嵌入式熱絕緣體174和/或導管168、170以控制基板支撐組件148的橫向溫度分佈。導管168、170可流體耦接至流體源172,此流體源經由導管168、170循環溫度調節流體。在一個實施方式中,嵌入式絕緣體174可以設置在導管168、170之間。加熱器176由加熱器電源178調節。導管168、170和加熱器176可以用於控制導熱基部164的溫度。導管和加熱器加熱和/或冷卻靜電定位盤166和正處理的基板144(例如,晶圓)。靜電定位盤166和導熱基部164的溫度可以使用複數個溫度感測器190、192執行監視,此溫度感測器可以使用控制器195執行監視。
靜電定位盤166可進一步包括多個氣體通道,諸如凹槽、檯面和可形成在夾盤166的上表面中的其他表面特徵。氣體通道可流體耦接至傳熱(或背側)氣體的源,諸如靜電定位盤166中鑽出的He通孔。在操作中,可以在受控壓力下將背側氣體提供至氣體通道中以增強靜電定位盤166與基板144之間的傳熱。He通孔可以由可滲透He的多孔陶瓷插塞堵塞。多孔陶瓷插塞也可以是可至少部分地滲透用於清潔半導體處理腔室100的腐蝕性氣體和電漿。多孔陶瓷插塞可以過濾腐蝕性氣體的氣體顆粒並且防止此類腐蝕性氣體穿透到基板支撐組件中。多孔陶瓷插塞可以另外防止在靜電定位盤166中的He通孔中形成次級電漿。然而,多孔陶瓷插塞可能在重複清潔循環之後侵蝕。另外,多孔陶瓷插塞的化學劑可隨著此多孔陶瓷插塞暴露於氟(例如,多孔陶瓷插塞可能損失Si並且增加氟)而改變。由此,多孔陶瓷插塞可根據本文中的實施方式執行塗覆以延長此多孔陶瓷插塞的壽命。
靜電定位盤166包括由夾緊電源182控制的至少一者夾持電極180。夾持電極180(或設置在靜電定位盤166或基部164中的其他電極)可經由匹配電路188進一步耦接至一個或多個RF電源184、186以維持由處理腔室100內的製程氣體和/或其他氣體形成的電漿。RF電源184、186一般能夠產生具有從約50 kHz至約3 Ghz的頻率和高達約10,000瓦的功率的RF信號。
2A 描繪了根據用於在製品上(包括在製品內的孔隙壁上)生長或沉積抗電漿塗層的ALD技術的沉積製程的一個實施方式。 2B 描繪了根據用於在製品上(包括在製品內的孔隙壁上)生長或沉積多層抗電漿塗層的ALD技術的沉積製程的一個實施方式。 2C 描繪了根據如本文所述的原子層沉積技術的沉積製程的另一實施方式。 2D 描繪了根據如本文所述的原子層沉積技術的沉積製程的另一實施方式。
存在各種類型的ALD製程,並且可以基於多個因素(諸如待塗覆的表面、塗層材料、在表面與塗層材料之間的化學相互作用等等)選擇特定類型。關於各種ALD製程的一般原理包括藉由將待塗覆的表面重複地暴露於氣體化學前驅物的脈衝來生長薄膜層,氣體化學前驅物的脈衝以自我限制的方式一次一個地與該表面化學反應。
2A 2D 圖示了具有表面的製品210。製品210可以表示各種多孔製程腔室部件(例如,半導體製程腔室部件),包括但不限於用於靜電夾盤或基板支撐組件的多孔陶瓷插塞。製品210可以由陶瓷、金屬陶瓷複合物(諸如AlO/SiO、AlO/MgO/SiO、SiC、SiN、AlN/SiO和類似者)、金屬(諸如鋁、不銹鋼)、聚合物、聚合物陶瓷複合物、聚酯薄膜、聚酯或其他合適材料製成,並且可進一步包含以下材料,諸如AlN、Si、SiC、Al2 O3 、SiO2 等等。在一個實施方式中,製品210是由兩相材料構成的陶瓷多孔插塞,此兩相材料包括第一氧化物的燒結顆粒和用作第一氧化物的燒結顆粒的黏結劑的第二氧化物。兩相材料可以佈置在多孔基質中。例如,第一氧化物可以是Al2 O3 或AlN,並且第二氧化物可以是SiO2 。對於此類材料的挑戰是含SiO2 相對氟化的化學劑幾乎沒有抗性並且被非常快速地蝕刻掉,從而導致多孔基質破裂並且產生顆粒。
對於ALD,將前驅物吸附至表面或使反應物與所吸附的前驅物的反應可被稱為「半反應」。在第一半反應期間,將前驅物脈衝至製品210的表面上(包括脈衝至製品210內的孔隙壁的表面上)持續足夠允許前驅物完全地吸附至表面上的時段。由於前驅物將吸附至表面上的有限數量可用位點上,從而在此表面上形成均勻、連續的吸附層,吸附是自我限制的。已經吸附有前驅物的任何位點都將變得不可用於進一步吸附相同前驅物,除非和/或直至吸附位點經歷將在均勻、連續塗層上形成新的可用位點的處理。示例性處理可以是電漿處理、藉由將均勻、連續的吸附層暴露於自由基而執行的處理或引入能夠與最近被吸附至表面的均勻、連續的層反應的不同前驅物。
在一些實現方式中,將兩種或更多種前驅物一起注入且使其吸附至製品表面上。過量前驅物泵出,直至注入含氧反應物以與被吸附物反應,從而形成固體單相或多相層(例如,YAG、Y2 O3 -ZrO2 相等等)。此新的層準備好在下一循環中吸附前驅物。
2A 中,可將製品210引入第一前驅物260持續第一持續時間,直至製品210的表面完全地吸附有第一前驅物260以形成吸附層214。接著,可將製品210引入第一反應物265以與吸附層214反應,從而生長固體層216(例如,使得層216完全地生長或沉積,其中術語生長和沉積可以在本文中互換地使用)。第一前驅物260可以是用於高純度金屬氧化物(例如,高純度氧化鋁)的前驅物。若層216是氧化物,則第一反應物265可以是氧氣、水蒸氣、臭氧、純氧氣、氧自由基或其他氧氣源。由此,ALD可以用於形成層216。層216可以是抗電漿塗層,或可以是多層抗電漿塗層中的一層。
在層216是高純度氧化鋁(HP-Al2 O3 )層的實例中,可將製品210(例如,用於ESC的多孔陶瓷插塞)引入第一前驅物260(例如,三甲基鋁(TMA))持續第一持續時間,直至製品表面上(包括在孔隙內)的全部反應位點被消耗。將剩餘第一前驅物260沖洗掉並且隨後將H2 O的第一反應物265注入反應器以開始第二半循環。在H2 O分子與由第一半反應產生的含Al吸附層反應之後,形成HP-Al2 O3 的層216。
層216可以是均勻、連續且保形的。層216可以是無孔隙率(例如,具有零孔隙率)的或在實施方式中具有近似為零的孔隙率(例如,0%至0.01%的孔隙率)。在一些實施方式中,在單一ALD沉積循環之後,層216可以具有小於一個原子層至幾個原子的厚度。一些金屬有機前驅物分子較大。在與反應物265反應之後,大有機配位體可以離開,留下小得多/聚金屬原子。一個完整ALD循環(例如,包括引入前驅物260、接著引入反應物265)可以產生少於單一原子層。例如,藉由TMA和H2 O生長的Al2 O3 單層通常具有約0.9 A/循環至約1.3 A/循環的生長速率,同時Al2 O3 晶格常數是a-4.7A且c=13A(對於三角結構)。
多個完整ALD沉積循環可實現以沉積較厚的層216,其中每個完整循環(例如,包括引入前驅物260、沖洗、引入反應物265,並且再次沖洗)使厚度增加了額外幾分之一原子至幾個原子。如圖所示,可執行多達n個完整循環以生長層216,其中n是大於1的整數值。在實施方式中,層216可以具有約5 nm至約3 µm的厚度。在另外實施方式中,層216具有約5 nm至約300 nm的厚度。層216可以在實施方式中具有約10 nm至約150 nm的厚度或在其他實施方式中具有約50 nm至約100 nm的厚度。
層216提供強的抗電漿性和機械性質。層216可以保護部件不受腐蝕、增強介電強度、提供含稀土金屬氧化物層對部件(例如,由多孔陶瓷或Al6061、Al6063形成)的較佳的黏附性,並且可以防止抗電漿塗層在高達約200℃,或高達約250℃,或從約200℃至約250℃的溫度下斷裂。在另外實施方式中,層216可以防止抗電漿塗層在高達約350℃的溫度下斷裂。由於ALD用於執行沉積,因此可以塗覆高深寬比特徵(諸如,在多孔材料中的噴頭或孔隙中的氣體輸送孔)的內表面,並且因此可以保護部件整體不暴露於腐蝕性環境。
在實施方式中,層216可以是具有約89.99%至約99.99%的純度的HP-Al2 O3 。與用於ESC插塞的典型陶瓷材料相比,高純度Al2 O3 明顯更抵抗電漿腐蝕。此外,由於共有元素(例如,鋁和氧),HP-Al2 O3 具有對陶瓷和鋁基部件的良好的黏附性。相似地,同樣由於共有元素(亦即,氧化物),HP-Al2 O3 具有對含稀土金屬的氧化物的良好的黏附性。此等改良的界面減少了容易引發斷裂的界面缺陷。
2B 描述了包括如參考 2A 描述的層216的沉積的沉積製程201。然而, 2B 的沉積製程201進一步包括沉積額外的層220以形成多層抗電漿塗層。由此,在完成層216之後,可視情況將具有層216的製品210引入額外一種或多種前驅物270持續第二時段,直至層216完全地吸附有一種或多種額外前驅物270以形成吸附層218。接著,可將製品210引入反應物275以與吸附層218反應,從而生長固體含稀土金屬的氧化物層220,為了簡便,也被稱為第二層220(例如,使得第二層220完全地生長或沉積)。在此個實施方式中,層216可以是無晶形金屬氧化物(例如,無晶形HP-Al2 O3 )。由此,使用ALD在層216上方完全地生長或沉積第二層220。在實例中,前驅物270可以是在第一半循環中使用的含釔前驅物,並且反應物275可以是在第二半循環中使用的H2 O。
第二層220可以形成可選的含釔氧化物層或其他含稀土金屬的氧化物層,此氧化物層可以是均勻、連續且保形的。第二層220可以在實施方式中具有小於1%的非常低的孔隙率,並且在另外實施方式中具有小於0.1%的孔隙率,並且在實施方式中具有約0%的孔隙率或在另外一些實施方式中無孔隙率。在單一完整ALD沉積循環之後,第二層220可以具有小於一個原子至幾個原子(例如,2-3個原子)的厚度。多個ALD沉積階段可實現以沉積較厚的第二層220,其中每個階段使厚度增加了額外幾分之一原子至幾個原子。如圖所示,完整沉積循環可重複m次以致使第二層220具有目標厚度,其中m是大於1的整數值。在實施方式中,第二層220可以具有約5 nm至約3 µm的厚度。在其他實施方式中,第二層220可以具有約5 nm至約300 nm的厚度。第二層220可以在實施方式中具有約10 nm至約20 nm的厚度或在一些實施方式中具有約50 nm至約60 nm的厚度。在其他實施方式中,第二層220可以具有約90 nm至約110 nm的厚度。
第二層220的厚度與層216的厚度的比率可以是200:1至1:200。第二層220的厚度與層216的厚度的較高比率(例如,200:1、100:1、50:1、20:1、10:1、5:1、2:1等等)提供了較佳的抗腐蝕性和抗侵蝕性,同時第二層220的厚度與層216的厚度的較低比率(例如,1:2、1:5、1:10、1:20、1:50、1:100、1:200)提供較佳的耐熱性(例如,對由熱循環導致的斷裂和/或分層的改良的抵抗性)。
第二層220可以是先前提及的含稀土金屬的氧化物層中的任一者。例如,第二層220可以是單獨或與一種或多種其他稀土金屬氧化物結合的Y2 O3 。在一些實施方式中,第二層220是由已經藉由ALD共沉積的至少兩種含稀土金屬的氧化物前驅物(例如,Y2 O3 、Er2 O3 、Al2 O3 和ZrO2 的一種或多種的組合)的混合物形成的單相材料。例如,第二層220可以是Yx Zry Oz 、Yx Ery Oz 、Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、Y2 O3 穩定的ZrO2 (YSZ)或包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物之一。在一個實施方式中,層216是無晶形HP-Al2 O3 並且第二層220是單獨或在與一種或多種其他含稀土金屬的氧化物材料的單相中的多晶或無晶形含釔氧化物化合物(例如,Y2 O3 、Yx Aly Oz 、Yx Zry Oz 、Yx Ery Oz )。由此,層216可以是在沉積含釔氧化物層之前沉積的應力減弱層。
在一些實施方式中,第二層220可以包含Er2 O3 、Y2 O3 、Al2 O3 或ZrO2 。在一些實施方式中,第二層220是Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 或Era Yx Zry Oz 中的至少一種的多組分材料(例如,Y2 O3 、ZrO2 和Er2 O3 的單相固溶體)。第二層220也可以是Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、Y2 O3 穩定的ZrO2 (YSZ)和包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物之一。在一個實施方式中,第二層220是含鉺化合物(例如,Er2 O3 、Erx Aly Oz 、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 或Era Yx Zry Oz )。
參考 2C 2D ,在一些實施方式中,抗電漿塗層含有兩個以上的層。特別地,抗電漿塗層可以包括氧化物層和含稀土金屬的氧化物層的一系列交替層,或可以包括層216和用於含稀土金屬的氧化物層的一系列交替層。在一些實施方式中,含稀土金屬的氧化物層是交替子層的層。例如,含稀土金屬的氧化物層可以是Y2 O3 和Al2 O3 的一系列的交替子層,Y2 O3 和ZrO2 的一系列交替子層,Y2 O3 、Al2 O3 和ZrO2 的一系列交替子層,等等。
參看 2C ,可將具有層216的製品210插入沉積腔室。如參考 2A 2B 所闡述,可能已經形成層216。或者,可以提供不在其上形成層的製品210。可將製品210引入一種或多種前驅物280持續某個時段,直至層216或製品210完全地吸附有一種或多種額外前驅物280以形成吸附層222。接著,可將製品210引入反應物282以與吸附層222反應,從而生長固體金屬氧化物層224。由此,使用ALD在層216上方完全地生長或沉積金屬氧化物層224。在實例中,前驅物280可以是在第一半循環中使用的含釔前驅物,並且反應物282可以是在第二半循環中使用的H2 O。金屬氧化物層224可以是Y2 O3 、ZrO2 、Al2 O3 、Er2 O3 、Ta2 O5 或另一種氧化物的第一種。
可將具有層216和/或金屬氧化物層224的製品210引入一種或多種前驅物284持續一持續時間,直至金屬氧化物層224的表面完全地吸附有一種或多種前驅物284以形成吸附層226。接著,可將製品210引入反應物286以與吸附層226反應,從而生長額外固體金屬氧化物層228。由此,使用ALD在金屬氧化物層224上方完全地生長或沉積額外金屬氧化物層228。在實例中,前驅物284可以是在第一半循環中使用的含鋯前驅物,並且反應物286可以是在第二半循環中使用的H2 O。金屬氧化物層224可以是Y2 O3 、ZrO2 、Al2 O3 、Er2 O3 、Ta2 O5 或另一種氧化物的第二種。
如圖所示,金屬氧化物224和第二金屬氧化物228的沉積可重複n次以形成交替層的堆疊237,其中n是大於2的整數值。N可以表示基於目標厚度和性質選擇的有限數量的層。交替層的堆疊237可以被認為是含有多個交替子層的含稀土金屬的氧化物層。由此,可重複地順序引入前驅物280、反應物284、前驅物284和反應物286以生長或沉積額外的交替層230、232、234、236等等。層224、224、230、232、234、236等等中的每個可以是具有小於單一原子層至幾個原子層的厚度的非常薄的層。例如,藉由TMA和H2 O生長的Al2 O3 單層通常具有約0.9至約1.3 A/循環的生長速率,同時Al2 O3 晶格常數是a-4.7A和c=13A(對於三角結構)。
上文中所述的交替層224-236具有1:1比率,其中關於每個單層的第二金屬氧化物存在單層第一金屬氧化物。然而,在其他實施方式中,在不同類型的金屬氧化物層之間可以存在其他比率,諸如2:1、3:1、4:1等等。例如,在實施方式中,可以針對每個ZrO2 層沉積兩個Y2 O3 層。另外,交替層224-236的堆疊237已經被描述為兩種類型的金屬氧化物層的交替序列。然而,在其他實施方式中,兩種以上的類型的金屬氧化物層可以沉積在交替堆疊237中。例如,堆疊237可以包括三種不同的交替層(例如,Y2 O3 的第一層、Al2 O3 的第一層、ZrO2 的第一層、Y2 O3 的第二層、Al2 O3 的第二層、ZrO2 的第二層,等等)。
在已經形成交替層的堆疊237之後,可執行退火製程以使得不同材料的交替層擴散至彼此中並且形成具有單相或多相的複雜氧化物。在退火製程之後,交替層的堆疊237可以由此變為單層含稀土金屬的氧化物層238。例如,若在堆疊中的層是Y2 O3 、Al2 O3 和ZrO2 ,則所得含稀土金屬的氧化物層238可以是包含Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體的陶瓷化合物。若堆疊中的層是Y2 O3 和ZrO2 ,則可以形成Y2 O3 -ZrO2 固溶體。
參看 2D ,可將具有層216的製品210插入沉積腔室。或者,可將不具有此種層216的製品210插入沉積腔室。如參考 2A 2B 所闡述,可能已經形成層216。可將製品210引入一種或多種前驅物290持續一持續時間,直至層216或製品210完全地吸附有一種或多種前驅物290以形成吸附層240。接著,可將製品210引入反應物292以與吸附層240反應,從而生長固體稀土氧化物層242。在實施方式中,前驅物290和反應物292可對應於前驅物270和反應物275。由此,使用ALD在層216上方完全地生長或沉積稀土氧化物層242。引入前驅物290且隨後引入反應物292的製程可重複n次以致使稀土氧化物層242具有目標厚度,其中n是大於1的整數。
可以將具有層216和/或稀土氧化物層242的製品210引入一種或多種前驅物294持續某個時段,直至稀土氧化物層242的表面完全地吸附有一種或多種前驅物294以形成吸附層244。接著,可將製品210引入反應物296以與吸附層244反應,從而生長阻擋層246。在實施方式中,前驅物294和反應物296可對應於前驅物260和反應物265。由此,阻擋層244可以具有與表面層216相同的材料組分。使用ALD在稀土氧化物層242上方完全地生長或沉積阻擋層246。引入前驅物294且隨後引入反應物296的製程可執行一次或兩次以形成薄的阻擋層246,此阻擋層可以防止稀土氧化物層中的結晶生長。
如圖所示,稀土氧化物242和阻擋層228的沉積可重複m次以形成交替層的堆疊248,其中m是大於1的整數值。N可以表示基於目標厚度和性質選擇的有限數量的層。交替層的堆疊248可以被認為是含有多個交替子層的含稀土金屬的氧化物層。
2D 中所示的最終結構是利用抗電漿塗層塗覆的製品210的橫截面側視圖,此抗電漿塗層包括表面高純度金屬氧化物層216(例如,無晶形金屬氧化物)和含稀土金屬的氧化物242和第二氧化物或其他陶瓷228的交替層的堆疊248。
在一些實施方式中,第二氧化物或其他陶瓷可以是與用於形成表面層的氧化物(例如,Al2 O3 )相同的氧化物。或者,第二氧化物或陶瓷可以是與用於形成表面層的氧化物不同的氧化物。
含稀土金屬的氧化物的每一層可以具有約5-10埃的厚度並且可藉由執行ALD製程的約5至約10次循環來形成,其中每個循環形成含稀土金屬的氧化物的奈米層(或略微小於或大於奈米層)。在一個實施方式中,含稀土金屬的氧化物的每一層使用約6至約8次ALD循環形成。第二氧化物或其他陶瓷的每一層可以藉由單次ALD循環(或多次ALD循環)形成並且可以具有小於一個原子至幾個原子的厚度。含稀土金屬的氧化物的層可以各自具有約5-100埃的厚度,並且第二氧化物的層可以各自在實施方式中具有約1-20埃的厚度,並且在另外實施方式中具有1-4埃的厚度。含稀土金屬的氧化物242和第二氧化物或其他陶瓷228的交替層的堆疊248可以具有約5 nm至約3 µm的總厚度。在含稀土金屬的氧化物的層242之間的、第二氧化物或其他陶瓷246的薄層可以防止在含稀土金屬的氧化物層中的結晶形成。此舉可以實現無晶形氧化釔層生長。
在參考 2A 2D 所描述的實施方式中,順序完成表面反應(例如,半反應),並且在實施方式中,各種前驅物不與反應物接觸。在引入新的前驅物或反應物之前,其中發生ALD製程的腔室可利用惰性載體氣體(諸如氮或空氣)淨化以移除任何未反應的前驅物和/或表面前驅物反應副產物。前驅物對於每層將會是不同的,並且用於含釔氧化物層或其他含稀土金屬的氧化物層的第二前驅物可以是兩種含稀土金屬的氧化物前驅物的混合物以促進此等化合物共沉積,從而形成單相材料層。在一些實施方式中,使用至少兩種前驅物,在其他實施方式中,使用至少三種前驅物,並且在又一些實施方式中,使用至少四種前驅物。
取決於製程類型,ALD製程可以在各種溫度下執行。關於特定ALD製程的最佳溫度範圍被稱為「ALD溫度窗」。低於ALD溫度窗的溫度可能導致不良生長速率和非ALD類型的沉積。高於ALD溫度窗的溫度可能導致經由化學氣相沉積(CVD)機制而發生的反應。ALD溫度窗可以在從約100℃至約400℃的範圍中。在一些實施方式中,ALD溫度窗在約120℃至約300℃之間。一些ALD製程也可在約20℃至約400℃的溫度下執行。
ALD製程允許在具有複雜幾何形狀、具有高深寬比的孔(例如,孔隙)和三維結構的製品和表面上具有均勻的厚度的保形抗電漿塗層。每個前驅物充分暴露於表面的時間實現前驅物分散並且使得此前驅物整體(包括全部其三維複雜特徵)與表面完全反應。用於在高深寬比結構中實現保形ALD的暴露時間與深寬比的平方成比例並且可以使用模型技術執行預測。另外,由於ALD技術允許特定組分或配方的原位按需材料合成而不需要對來源材料(諸如粉末原料和燒結靶材)的長時間的且困難的製造,因此ALD技術比其他常用塗覆技術更有利。在一些實施方式中,ALD用於塗覆約3:1至300:1的深寬比的製品。
利用本文中所述的ALD技術,可以(例如)藉由用於單獨或與如上文所描述且在下文的實例中更詳細描述的一種或多種其他氧化物結合地生長含稀土金屬的氧化物的前驅物的適當的混合物來生長、沉積或共沉積多組分膜,諸如Yx Aly Oz (例如,Y3 Al5 O12 )、Yx Zry Oz ,和Ya Zrx Aly Oz 、Yx Ery Oz 、Yx Ery Fz ,或Yw Erx Oy Fz
3A 圖示了根據實施方式的用於在多孔製品諸如多孔處理腔室部件(例如,用於ESC的插塞)內的孔隙壁上形成抗電漿塗層的方法300。方法300可以用於塗覆本文中所述的任何製品。此方法可以可選地藉由選擇用於抗電漿塗層的成分來開始。成分選擇和形成方法可以藉由相同實體或藉由多個實體執行。
此方法可以可選地在方塊305處包括利用酸性溶液清潔製品。在一個實施方式中,將製品浸泡在酸性溶液的浴中。在實施方式中,酸性溶液可以是氫氟酸(HF)溶液、氫氯酸(HCl)溶液、硝酸(HNO3 )溶液或它們的組合。酸性溶液可以從製品移除表面污染物和/或可以從製品表面移除氧化物。利用酸性溶液清潔製品可以改良使用ALD沉積的塗層的品質。在一個實施方式中,含有約0.1 vol%至約5.0 vol%的HF的酸性溶液用於清潔由石英製成的腔室部件。在一個實施方式中,含有約0.1 vol%至約20 vol%的HF的酸性溶液用於清潔由Al2 O3 製成的製品。在一個實施方式中,含有約5至約15 vol%的HNO3 的酸性溶液用於清潔由鋁和其他金屬製成的製品。
在方塊310處,將製品載入ALD沉積腔室中。在方塊320處,此方法包括使用ALD將抗電漿塗層沉積到製品表面上。將抗電漿塗層額外沉積到製品內的孔隙的孔隙壁上。在一個實施方式中,在方塊325處,執行ALD以沉積金屬氧化物層,諸如Al2 O3 層。在一個實施方式中,在方塊330處,可選地執行ALD以單獨或與一種或多種其他氧化物一起地沉積或共沉積含稀土金屬的氧化物層。在實施方式中,ALD是所執行的非常保形的製程,此可致使抗電漿塗層的表面粗糙度匹配所塗覆的製品的下層表面的表面粗糙度。在一些實施方式中,抗電漿塗層可以具有約5 nm至約3 µm的總厚度。抗電漿塗層在實施方式中可以具有約0%的孔隙率,或在實施方式中可以無孔隙率,並且可以具有約+/-5%或更小、+/-10%或更小或+/-20%或更小的厚度變化。
在一個實施方式中,在方塊335處,執行ALD以沉積含稀土金屬的氧化物和額外氧化物的交替層的堆疊。額外氧化物可以是本文中所述的氧化物的任一者。或者,可以形成單層。
在一些實例中,可以有利地降低多孔製品的孔隙率和/或滲透率。在一些實施方式中,抗電漿塗層在孔隙的孔隙壁上的厚度可以具有對多孔材料的孔隙率和滲透率的影響。可以執行將塗層厚度映射到孔隙率降低和/或映射到滲透率降低的特性分析。特性分析可以隨後用於將多孔製品的初始孔隙率和/或初始滲透率降低至目標孔隙率和/或滲透率。例如,第一塗層厚度可以將孔隙率從60%降低至50%並且第二塗層厚度可以將孔隙率從60%降低至40%。可以確定初始和目標孔隙率(或初始和目標滲透率)。可以隨後確定將初始孔隙率(或初始滲透率)降低至目標孔隙率(或目標滲透率)的塗層厚度。可以隨後將抗電漿塗層沉積到目標厚度以致使孔隙率和/或滲透率達到目標孔隙率和/或目標滲透率。
含釔氧化物層包括含釔氧化物並且可以包括一種或多種額外的稀土金屬氧化物。在實施方式中,由於含釔的氧化物一般具有高穩定性、高硬度和優異抗侵蝕性,包括釔的含稀土金屬的氧化物材料可以用於形成抗電漿塗層。例如,Y2 O3 是最穩定的氧化物之一並且具有-1816.65 kJ/mol的形式(∆Gfº)的標準吉布斯自由能,指示Y2 O3 與大部分製程化學劑的反應在標準條件下是熱動力學不利的。包括第一金屬氧化物層和具有根據本文的實施方式沉積的Y2 O3 的含稀土金屬的氧化物層的抗電漿塗層也可具有對眾多電漿和化學劑環境的低侵蝕速率,諸如當於200瓦的偏壓和500℃暴露於直接NF3 電漿化學劑時約0 µm/hr的侵蝕速率。例如,在200瓦和500℃下的直接NF3 電漿的1小時測試致使無可量測的侵蝕。抗電漿塗層可以由其形成的含釔氧化物化合物的實例包括Y2 O3 、Yx Aly Oz (例如,Y3 Al5 O12 )、Yx Zry Oz 、Ya Zrx Aly Oz 或Yx Ery Oz 。在抗電漿塗層中的釔含量可以在從約0.1 at.%至接近100 at.%的範圍中。針對含釔氧化物,釔含量可以在從約0.1 at.%至接近100 at.%的範圍中並且氧含量可以在從約0.1 at.%至接近100 at.%的範圍中。
可由其形成抗電漿塗層的含鉺氧化物化合物的實例包括Er2 O3 、Erx Aly Oz (例如,Er3 Al5 O12 )、Erx Zry Oz 、Era Zrx Aly Oz 、Yx Ery Oz 和Era Yx Zry Oz (例如,Y2 O3 、ZrO2 和Er2 O3 的單相固溶體)。抗電漿塗層中的鉺含量可以在從約0.1 at.%至接近100 at.%的範圍中。對於含鉺氧化物,鉺含量可以在從約0.1 at.%至接近100 at.%的範圍中並且氧含量可以在從約0.1 at.%至100 at.%的範圍中。
有利地,Y2 O3 和Er2 O3 是可混合的。對於Y2 O3 和Er2 O3 的任何組合可以形成單相固溶體。例如,可以結合並且共沉積稍稍高於0 mol%的Er2 O3 和稍稍低於100 mol%的Y2 O3 的混合物以形成作為單相固溶體的抗電漿塗層。另外,可以結合稍稍高於0 mol%的Er2 O3 和稍稍低於100 mol%的Y2 O3 的混合物以形成作為單相固溶體的抗電漿塗層。Yx Ery Oz 的抗電漿塗層可以含有在高於0 mol%至低於100 mol%之間的Y2 O3 和在高於0 mol%至低於100 mol%之間的Er2 O3 。一些值得注意的實例包括90-99 mol%的Y2 O3 和1-10 mol%的Er2 O3 、80-89 mol%的Y2 O3 和11-20 mol%的Er2 O3 、70-79 mol%的Y2 O3 和21-30 mol%的Er2 O3 、60-69 mol%的Y2 O3 和31-40 mol%的Er2 O3 、50-59 mol%的Y2 O3 和41-50 mol%的Er2 O3 、40-49 mol%的Y2 O3 和51-60mol%的Er2 O3 、30-39 mol%的Y2 O3 和61-70 mol%的Er2 O3 、20-29 mol%的Y2 O3 和71-80 mol%的Er2 O3 、10-19 mol%的Y2 O3 和81-90 mol%的Er2 O3 和1-10 mol%的Y2 O3 和90-99 mol%的Er2 O3 。Yx Ery Oz 的單相固溶體可以在低於約2330℃的溫度下具有單斜立方狀態。
有利地,ZrO2 可以與Y2 O3 和Er2 O3 結合以形成含有ZrO2 、Y2 O3 和Er2 O3 (例如,Era Yx Zry Oz )的混合物的單相固溶體。Ya Erx Zry Oz 的固溶體可以具有立方體、六邊形、四邊形和/或立方螢石型結構。Ya Erx Zry Oz 的固溶體可以含有高於0 mol%至60 mol%的ZrO2 、高於0 mol%至99 mol%的Er2 O3 和高於0 mol%至99 mol%的Y2 O3 。可以使用的一些值得注意量的ZrO2 包括2 mol%、5 mol%、10 mol%、15 mol%、20 mol%、30 mol%、50 mol%和60 mol%。可以使用的一些值得注意量的Er2 O3 和/或Y2 O3 包括10 mol%、20 mol%、30 mol%、40 mol%、50 mol%、60 mol%、70 mol%、80 mol%和90 mol%。
Ya Zrx Aly Oz 的抗電漿塗層可以含有高於0%至60 mol%的ZrO2 、高於0%至99 mol%的Y2 O3 和高於0%至60 mol%的Al2 O3 。可以使用的一些值得注意量的ZrO2 包括2 mol%、5 mol%、10 mol%、15 mol%、20 mol%、30 mol%、50 mol%和60 mol%。可以使用的一些值得注意量的Y2 O3 包括10 mol%、20 mol%、30 mol%、40 mol%、50 mol%、60 mol%、70 mol%、80 mol%和90 mol%。可以使用的一些值得注意量的Al2 O3 包括2 mol%、5 mol%、10 mol%、20 mol%、30 mol%、40 mol%、50 mol%和60 mol%。在一個實例中,Ya Zrx Aly Oz 的抗電漿塗層含有42 mol%的Y2 O3 、40 mol%的ZrO2 和18 mol%的Y2 O3 ,並且具有層狀結構。在另一實例中,Ya Zrx Aly Oz 的抗電漿塗層含有63 mol%的Y2 O3 、10 mol%的ZrO2 和27 mol%的Er2 O3 ,並且具有層狀結構。
在實施方式中,包括表面層和Y2 O3 、Yx Aly Oz (例如,Y3 Al5 O12 )、Yx Zry Oz 、Ya Zrx Aly Oz 或Yx Ery Oz 的含稀土金屬的氧化物層的抗電漿塗層具有低放氣速率、在約1000 V/µm的量級上的介電擊穿電壓、小於約1E-8 Torr/s的密封性(洩漏速率)、約600至約950或約685的維氏硬度、如由劃痕測試所量測的約75 mN至約100 mN或約85 mN的黏合性和如於室溫由x射線繞射所量測的約-1000至-2000 MPa(例如,約-1140 MPa)的膜應力。
在一些實施方式中,抗電漿塗層可以由氧化鋁前驅物形成,此氧化鋁前驅物選自用於ALD的乙氧基二乙基鋁、三(乙基甲基醯胺基)鋁、第二丁醇鋁、三溴化鋁、三氯化鋁、三乙基鋁、三異丁基鋁、三甲基鋁或三(二乙基醯胺基)鋁。
在一些實施方式中,抗電漿塗層是或包括氧化釔,並且用於形成含稀土金屬的氧化物層的氧化釔前驅物可以選自或包括用於ALD的三(N,N-雙(三甲基矽烷基)醯胺)釔(III)或丁醇釔(III)。
在一些實施方式中,抗電漿塗層包括氧化鋯。當抗電漿塗層包括氧化鋯時,氧化鋯前驅物可以包括用於ALD的溴化鋯(Ⅳ)、氯化鋯(Ⅳ)、第三丁醇鋯(Ⅳ)、四(二乙基醯胺基)鋯(Ⅳ)、四(二甲基醯胺基)鋯(Ⅳ)或四(乙基甲基醯胺基)鋯(Ⅳ)。此等氧化鋯前驅物的一種或多種可以與氧化釔前驅物共沉積。
在一些實施方式中,抗電漿塗層可進一步包括氧化鉺。氧化鉺前驅物可以選自用於ALD的三甲基環戊二烯基鉺(III)(Er(MeCp)3 )、溴化醯胺鉺(Er(BA)3 )、Er(TMHD)3 、三(2,2,6,6-四甲基-3,5-庚二酮基)鉺(III)或三(丁基環戊二烯基)鉺(III)。
3B 圖示了根據一實施方式的用於在多孔陶瓷製品(例如,用於ESC的多孔陶瓷插塞)上形成抗電漿塗層的方法350。此方法可以可選地藉由選擇用於抗電漿塗層的組分來開始。組分選擇和形成方法可以藉由相同實體或藉由多個實體執行。
在方法350的方塊352處,使用酸性溶液清潔製品表面(例如,多孔ESC插塞的表面)。酸性溶液可以是上文參考方法300的方塊305所描述的酸性溶液的任一種。可以隨後將製品載入ALD沉積腔室中。
根據方塊355,此方法包括經由ALD將無晶形HP-Al2 O3 的第一層沉積到製品表面上(包括在製品內的孔隙的孔隙壁上)。無晶形HP-Al2 O3 可以具有約5 nm至約300 nm的厚度。根據方塊360,此方法進一步包括藉由經由ALD將含釔氧化物前驅物和另一氧化物前驅物的混合物共沉積(亦即,在一個步驟中)至無晶形HP-Al2 O3 表面層上來形成第二層。例如,第二層可以包括在具有Al2 O3 或Er2 O3 或ZrO2 的單相中的Y2 O3 。或者,第二層可以包括多個相,諸如Y4 Al2 O9 的相和包括Y2 O3 -ZrO2 固溶體的另一相。
如上文所論述,含稀土金屬的氧化物層可以包括多種不同氧化物的混合物。為了形成此含稀土金屬的氧化物層,可以將先前提及的氧化釔前驅物、氧化鉺前驅物、氧化鋁前驅物和/或氧化鋯前驅物的任何組合一起引入ALD沉積腔室中以共沉積各種氧化物並且形成具有單相或多相的層。在存在臭氧、水、氧自由基或可以用作氧供體的其他前驅物時,可以執行ALD沉積或共沉積。
在方塊370處,可以做出是否添加額外層(例如,若將形成多層堆疊)的確定。若將添加額外層,則此方法可以返回方塊355並且可以形成Al2 O3 的額外層。否則,此方法可以執行至方塊375。
在方塊375處,加熱製品(例如,腔室部件)和此腔室部件上的抗電漿塗層的兩層。加熱可以在半導體處理期間經由退火製程、熱循環製程和/或經由製造步驟。在一個實施方式中,對試樣執行熱循環製程來作為製造之後用於偵測裂縫的檢查以用於品質控制,其中將此試樣循環至在處理期間部件可以經歷的最高溫度。熱循環溫度取決於部件將用於的具體應用或多個應用。針對陶瓷ESC插塞,例如( 4A 4C 所示),試樣可以在室溫與250℃之間循環。溫度可以基於製品、表面和膜層的構造的材料來選擇以維持其完整性並且避免變形、分解或熔融任何或全部此類部件。
4A 4C 描繪了根據一實施方式的用於ESC的經塗覆的多孔陶瓷插塞405。 4A 圖示了用於ESC的多孔陶瓷插塞405。多孔陶瓷插塞405可以由陶瓷材料(諸如AlO/SiO、AlO/MgO/SiO、SiC、SiN、AlN/SiO和類似者)製成。陶瓷插塞405僅是示例性多孔陶瓷腔室部件,此腔室部件的效能可以藉由使用如本文的實施方式中所闡述的抗電漿塗層來改良。應理解,當利用本文所揭示的抗電漿塗層塗覆時,也可改良其他多孔陶瓷腔室部件的效能。選擇如本文所描繪的插塞405作為半導體製程腔室部件的說明,此腔室部件具有表面,此表面具有複雜幾何形狀和具有高深寬比的孔(亦即,孔隙)。陶瓷插塞405可以暴露於腐蝕性化學劑(諸如氟)並且當不利用抗電漿塗層塗覆時歸因於與插塞的電漿相互作用而侵蝕。
陶瓷插塞405具有複數個孔隙,其中的一個孔隙408在 4B 中圖示。陶瓷插塞405可以具有約5%至約60%的孔隙率。孔隙408(和/或穿過由孔隙形成的陶瓷插塞405的通道)可以具有限定為長度與直徑的比率(L:D)的高深寬比,其中此高深寬比可以在從約3:1至約300:1或約50:1至約100:1的範圍中。孔隙408的表面415具有抗電漿塗層420,此抗電漿塗層可以對應於本文上文所述的抗電漿塗層的任一者。抗電漿塗層420可以在孔隙408的表面415上包括HP-Al2 O3 材料等,此孔隙在實施方式中可以是無晶形的。在一些實施方式中,HP-Al2 O3 層的純度可以是從約89.99%至約99.99%。單層塗層420對穿過孔隙408的流動路徑412幾乎不具有或不具有影響,使得甚至利用單層塗層420,孔隙408在其正常操作期間可滲透He氣體。抗電漿塗層420使用ALD技術在陶瓷插塞405的外表面上和在陶瓷插塞405內的孔隙408的孔隙壁415上生長或沉積。
不管孔隙的複雜幾何形狀和大深寬比,ALD技術實現在孔隙408的孔隙壁415上的相對均勻厚度和零孔隙率(亦即,無孔隙率)的保形塗層。抗電漿塗層420可以減少電漿相互作用並且改良插塞的可持續性而不影響其效能。利用ALD沉積的抗電漿塗層420維持孔隙408的相對形狀和幾何配置以及陶瓷插塞405的外表面的相對形狀和幾何配置以不干擾其功能性。相似地,當應用至其他多孔陶瓷腔室部件時,抗電漿塗層可以維持部件表面和孔隙壁的形狀和幾何配置以不干擾部件的功能性。塗層也可提供抗電漿性並且改良對多孔製品的內部的抗侵蝕性和/或抗腐蝕性。
在整個塗覆的部件的操作和暴露於電漿期間,抗電漿塗層420對電漿的抗性藉由「蝕刻速率」(ER)量測,此蝕刻速率可以具有微米/小時(µm/hr)的單位。量測可以在不同的處理時間之後執行。例如,量測可以在處理之前,或於約50個處理小時,或於約150個處理小時,或於約200個處理小時等等執行。在ESC插塞上或在任何其他處理腔室部件上生長或沉積的抗電漿塗層的組分中的變化可能導致多個不同的抗電漿性或侵蝕速率值。另外,暴露於各種電漿的具有單一組分的抗電漿塗層420可以具有多個不同的抗電漿性或侵蝕速率值。例如,抗電漿材料可以具有與第一類型的電漿相關的第一抗電漿性或侵蝕速率和與第二類型的電漿相關的第二抗電漿性或侵蝕速率。
在一些實施方式中,抗電漿塗層420可以包括第一層和可選地在此第一層(未圖示)的頂部上的第二含稀土金屬的氧化物層。第一層可以包括HP-Al2 O3 並且當存在含稀土金屬的氧化物層時,此第一層可以包括無晶形HP-Al2 O3 層。含稀土金屬的氧化物層可以包括單獨或與額外稀土金屬氧化物(例如,氧化鉺、氧化鋯等等)一起的氧化釔。含稀土金屬的氧化物層可以具有任何含稀土金屬的氧化物材料,諸如本文上文所述的彼等。每層可以使用ALD製程塗覆。不管其高深寬比並且不管在陶瓷插塞405內部的孔隙壁,ALD製程可以生長在每個孔隙408的整個孔隙壁中無孔隙率的均勻厚度的保形塗層,同時確保最終的多組分塗層也可以是足夠薄的以不堵塞陶瓷插塞中的孔隙。
4C 圖示了根據本文中所述的實施方式的包括已經利用抗電漿塗層塗覆的多個多孔陶瓷插塞405、435的基板支撐組件422。基板支撐組件422包括安裝板465、絕緣板460、設施板458和由導熱基部455和藉由黏結劑450(諸如矽氧烷黏結劑)黏結至導熱基部455的靜電定位盤430構成的靜電夾盤。o形環445可以於導熱基部455和靜電定位盤430的周邊圍繞黏結劑450放置以保護黏結劑450。絕緣板460可以是(例如)聚苯乙烯交聯樹脂(rexolite)或另一塑膠,並且可以提供與下方的接地硬體(例如,與安裝板465)的電氣隔離。基板支撐組件422可以包括穿透靜電定位盤430、黏結劑450、導熱基部455、設施板458、絕緣板460和/或安裝板465的一個或多個孔。可以將一個或多個多孔陶瓷插塞435、405插入孔中以防止腐蝕性氣體和電漿進入通孔。抗電漿塗層塗覆陶瓷插塞405、435內的孔隙的孔隙壁,此陶瓷插塞被插入一個或多個孔中。安裝板465包括用於將設施(例如,流體、電力線路、感測器引線等等)佈線至導熱基部460和靜電定位盤455的通道。
靜電定位盤455可進一步包括多個氣體通道,諸如凹槽、檯面和可以在靜電定位盤430的上表面中形成的其他表面特徵。氣體通道可流體耦接至傳熱(或背側)氣體(諸如經由先前提及的孔的He)的來源。在操作中,可以於受控壓力將背側氣體提供至氣體通道中以增強在靜電定位盤430與所支撐的基板之間的傳熱。如上文所陳述,孔可以是由可滲透He的多孔陶瓷插塞405、435堵塞的He通孔。多孔陶瓷插塞也可至少部分地可滲透用於清潔半導體處理腔室430的腐蝕性氣體和電漿。多孔陶瓷插塞可以過濾腐蝕性氣體的氣體顆粒並且防止此類腐蝕性氣體穿透至基板支撐組件中。多孔陶瓷插塞405、435可以額外防止在基板支撐組件422中的孔內形成次級電漿。
靜電定位盤430包括至少一個夾持電極440。夾持電極440(或在靜電定位盤430中設置的其他電極)可以藉由匹配電路進一步耦接至一個或多個RF電源以用於維持由處理腔室內的製程氣體和/或其他氣體形成的電漿。在多孔陶瓷插塞405、435上的抗電漿塗層在處理期間提供了對電漿的抗腐蝕性。
闡述以下實例以幫助理解本文中所述的實施方式並且不應解釋為具體地限制本文描述並主張的實施方式。在本領域技術人員的知識範圍內的此類變化,包括全部目前已知的或日後發展的等效物的替代,和調配物改變或實驗設計中的不重要改變被認為落入本文所結合的實施方式的範圍內。此等實例可以藉由執行上文所述的方法300或方法350來達成。實例 1- 在多孔陶瓷基板上形成 HP-Al2 O3 表面層
5A 是圖示根據本文中所述的實施方式塗覆的多孔插塞的形態的自上向下圖像。 5B 是如使用透射電子顯微鏡(TEM)成像的根據本文中所述的實施方式塗覆的多孔插塞的橫截面圖。無晶形氧化鋁(Al2 O3 )塗層的抗電漿塗層520沉積在由氧化鋁和二氧化矽構成的多孔插塞515上。Al2 O3 的抗電漿塗層520使用原子層沉積沉積在多孔插塞515上,並且具有約40 nm的厚度。在一毫托或幾毫托至一托或幾托的範圍內的壓力和約100-250℃的溫度下,將用於抗電漿塗層的前驅物引入到基板。如圖所示,塗層520不會阻塞多孔插塞515中的孔隙。
6 圖示了用於新多孔插塞505、用於首次使用的多孔插塞510和用於第二次使用的多孔插塞515的能量分散x射線微量分析的結果。結果表明,如與新的多孔插塞相比矽(Si)的顯著損失和高氟(F)含量。如本文所述,此矽損失和氟增加藉由在多孔插塞內的孔隙的孔隙壁上施加抗電漿塗層來減輕。
以上描述闡述多個具體細節,諸如特定系統、部件、方法等等的實例,以便提供對本發明的若干實施方式的良好理解。然而,本領域的技術人員將會清楚,在沒有此等特定細節的情況下,也可實踐本發明的至少一些實施方式。在其他實例中,熟知的部件或方法沒有被詳細地描述或以簡單的方塊圖的形式圖示以避免不必要地模糊本發明。因此,所闡述的特定細節僅是示例性的。具體實現方式可不同於此等示例性細節,並且仍構想為在本發明的範圍內。
在整個本說明書中提到「一個實施方式」或「一種實施方式」表示與所述實施方式結合描述的具體特徵、結構或特性包括在至少一個實施方式中。因此,在整個本說明書中的各種地方出現的短語「在一個實施方式中」或「在一種實施方式中」並非必須全部是指相同實施方式。此外,術語「或」意欲表示包括性的「或」而非排他性的「或」。當本文使用術語「約」或「近似」時,意欲表示提及的標稱值精確在±10%內。
儘管以特定的順序圖示並描述了本文的方法的操作,但是每個方法的操作順序都可以改變,使得某些操作可以相反順序執行,或者使得某些操作可至少部分地與其他操作同時執行。在另一實施方式中,不同操作的指令或子操作可以是以間歇和/或交替的方式的。
應當理解,以上描述意欲是說明性的而非限制性的。在閱讀並且理解以上描述時,許多其他實施方式將對本領域的技術人員顯而易見。本發明的範圍應當由參考隨附申請專利範圍以及此類申請專利範圍授權保護的等效物的全部範圍而確定。
100‧‧‧處理腔室
102‧‧‧腔室主體
106‧‧‧內部容積
108‧‧‧側壁
110‧‧‧底部
116‧‧‧外部襯裡
118‧‧‧內部襯裡
126‧‧‧排氣口
128‧‧‧泵系統
130‧‧‧噴頭
132‧‧‧氣體輸送孔
133‧‧‧氣體分配板
136‧‧‧抗電漿塗層
138‧‧‧黏結劑
144‧‧‧基板
146‧‧‧環
148‧‧‧基板支撐組件
150‧‧‧靜電夾盤
152‧‧‧支撐基座
158‧‧‧氣體面板
162‧‧‧安裝板
164‧‧‧導熱基部
166‧‧‧靜電定位盤
168‧‧‧導管
170‧‧‧導管
172‧‧‧流體源
174‧‧‧嵌入式熱絕緣體
176‧‧‧嵌入式加熱元件
178‧‧‧加熱器電源
180‧‧‧夾持電極
182‧‧‧夾緊電源
184‧‧‧RF電源
186‧‧‧RF電源
188‧‧‧匹配電路
190‧‧‧溫度感測器
192‧‧‧溫度感測器
201‧‧‧沉積製程
210‧‧‧製品
214‧‧‧吸附層
216‧‧‧層
218‧‧‧吸附層
220‧‧‧第二層
222‧‧‧吸附層
224‧‧‧金屬氧化物層
226‧‧‧吸附層
228‧‧‧額外金屬氧化物層/阻擋層/陶瓷
230‧‧‧層
232‧‧‧層
234‧‧‧層
236‧‧‧層
237‧‧‧堆疊
238‧‧‧含稀土金屬的氧化物層
240‧‧‧吸附層
242‧‧‧稀土氧化物層
244‧‧‧吸附層
246‧‧‧阻擋層
248‧‧‧堆疊
260‧‧‧前驅物
265‧‧‧反應物
270‧‧‧前驅物
275‧‧‧反應物
280‧‧‧前驅物
282‧‧‧反應物
284‧‧‧前驅物
286‧‧‧反應物
290‧‧‧前驅物
292‧‧‧反應物
294‧‧‧前驅物
296‧‧‧反應物
300‧‧‧方法
305‧‧‧方塊
310‧‧‧方塊
320‧‧‧方塊
325‧‧‧方塊
330‧‧‧方塊
335‧‧‧方塊
350‧‧‧方塊
352‧‧‧方塊
355‧‧‧方塊
360‧‧‧方塊
370‧‧‧方塊
375‧‧‧方塊
405‧‧‧多孔陶瓷插塞
408‧‧‧孔隙
412‧‧‧流動路徑
415‧‧‧孔隙壁
420‧‧‧抗電漿塗層
422‧‧‧基板支撐組件
430‧‧‧靜電定位盤
435‧‧‧多孔陶瓷插塞
440‧‧‧夾持電極
445‧‧‧o形環
450‧‧‧黏結劑
455‧‧‧導熱基部
458‧‧‧設施板
460‧‧‧絕緣板
465‧‧‧安裝板
505‧‧‧多孔插塞
510‧‧‧多孔插塞
515‧‧‧多孔插塞
520‧‧‧抗電漿塗層
本揭示內容在隨附圖式中的各個附圖中以實例的方式而非以限制的方式示出,其中相似元件符號指示類似元件。應當注意,在本揭示內容中,對「一種」或「一個」實施方式的不同引用不一定指相同實施方式,並且此類引用表示至少一個。
1 描繪了處理腔室的截面圖。
2A 描繪了根據如本文所述的原子層沉積技術的沉積製程的一個實施方式。
2B 描繪了根據如本文所述的原子層沉積技術的沉積製程的另一實施方式。
2C 描繪了根據如本文所述的原子層沉積技術的沉積製程的另一實施方式。
2D 描繪了根據如本文所述的原子層沉積技術的沉積製程的另一實施方式。
3A 圖示了使用如本文所述的原子層沉積產生抗電漿塗層的方法。
3B 圖示了使用如本文所述的原子層沉積產生抗電漿塗層的方法。
4A 描繪了根據各個實施方式的用於靜電夾盤腔室部件的插塞。
4B 描繪了在用於靜電夾盤的插塞內的孔隙的放大圖,其中每個孔隙的內表面利用如本文所述的抗電漿塗層塗覆。
4C 描繪了包括已經根據本文中所述的實施方式塗覆的多個多孔陶瓷插塞的基板支撐組件。
5A 是圖示根據本文中所述的實施方式塗覆的多孔插塞的形態的自上向下圖像。
5B 是根據本文中所述的實施方式塗覆的多孔插塞的橫截面圖。
6 是圖示關於陶瓷中的新的和已使用的多孔插塞的能量分散x射線微量分析的結果的圖表。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種製品,包括: 一多孔主體,包括該多孔主體內的複數個孔隙,該複數個孔隙各自包括孔隙壁,其中該孔隙主體對一氣體是可滲透的;和 一抗電漿塗層,處於該多孔主體的一表面上並且處於該多孔主體內的該複數個孔隙的該等孔隙壁上,該抗電漿塗層具有約5 nm至約3 µm的一厚度,其中該抗電漿塗層保護該等孔隙壁不受侵蝕,並且其中具有該抗電漿塗層的該多孔主體保持對該氣體是可滲透的。
  2. 如請求項1所述的製品,其中該抗電漿塗層基本上由氧化鋁組成。
  3. 如請求項1所述的製品,其中該製品是用於一靜電夾盤的一陶瓷插塞。
  4. 如請求項1所述的製品,其中該抗電漿塗層包括氧化釔或氧化鉺中的至少一者。
  5. 如請求項1所述的製品,其中該抗電漿塗層包含: 一高純度金屬氧化物層;和 一含稀土金屬的氧化物層,該含稀土金屬的氧化物層包括選自由以下項所組成的一群組中的一材料:Y2 O3 、Y3 Al5 O12 (YAG)、ZrO2 、Gd2 O3 、一Y2 O3 -ZrO2 固溶體和包含Y4 Al2 O9 和一Y2 O3 -ZrO2 固溶體的一陶瓷化合物。
  6. 如請求項1所述的製品,其中該多孔主體具有約5%至約60%的一孔隙率。
  7. 如請求項1所述的製品,其中該抗電漿塗層包括: 一第一類型的層和一第二類型的層的交替層的一堆疊, 其中: 該第一類型的層是具有約1埃至約20埃的一厚度的一高純度金屬氧化物層;和 該第二類型的層是具有約5埃至約100埃的一厚度的一含稀土金屬的氧化物。
  8. 如請求項1所述的製品,其中該多孔主體基本上由一兩相材料組成,該兩相材料包含一第一氧化物的燒結顆粒和用作該第一氧化物的該等燒結顆粒的一黏結劑的一第二氧化物,其中該第一氧化物選自由氧化鋁和氮化鋁所組成的一群組並且該第二氧化物是二氧化矽。
  9. 如請求項1所述的製品,其中該多孔主體選自由以下項所組成的一群組:a)氧化鋁和二氧化矽的一混合物;b)氧化鋁、氧化鎂和二氧化矽的一混合物;c)碳化矽;d)氮化矽;和e)氮化鋁和二氧化矽的一混合物。
  10. 一種方法,包括以下步驟: 執行原子層沉積以在包括複數個孔隙的一多孔腔室部件上沉積一抗電漿塗層,該複數個孔隙各自包括孔隙壁,其中該多孔主體對一氣體是可滲透的,並且其中執行該原子層沉積之步驟包括以下步驟: 將該抗電漿塗層沉積到該多孔腔室部件的一表面上;和 將該抗電漿塗層沉積到該多孔腔室部件內的該複數個孔隙的該等孔隙壁上; 該抗電漿塗層具有約5 nm至約3 µm的一厚度,其中該抗電漿塗層保護該等孔隙壁不受侵蝕,並且其中在執行該原子層沉積之後,具有該抗電漿塗層的該多孔腔室部件保持對該氣體是可滲透的。
  11. 如請求項10所述的方法,其中該抗電漿塗層由具有約89.99%至約99.99%的一純度的氧化鋁組成。
  12. 如請求項10所述的方法,其中該抗電漿塗層基本上由氧化鋁組成,並且其中沉積該抗電漿塗層之步驟包括以下步驟: 執行一沉積循環,包括以下步驟: 將一含鋁前驅物注入容納有該腔室部件的一沉積腔室中以致使該含鋁前驅物吸附至該等孔隙壁上,從而形成一第一半反應;和 將一含氧反應物注入該沉積腔室中,從而形成一第二半反應;和 重複該沉積循環一次或多次直至實現一目標厚度。
  13. 如請求項10所述的方法,其中該抗電漿塗層包括一金屬氧化物和一含釔氧化物的交替層的一堆疊,並且其中沉積該抗電漿塗層之步驟包括藉由以下步驟交替沉積該含釔氧化物和該金屬氧化物以形成一單相或多相含釔氧化物: 執行一沉積循環,包括以下步驟: 將一含釔前驅物注入容納有該腔室部件的一沉積腔室中以致使該含釔前驅物吸附至該等孔隙壁上,從而形成一第一半反應; 將一含氧反應物注入該沉積腔室中,從而形成一第二半反應和一第一層; 將一額外前驅物注入該沉積腔室中以致使該額外前驅物吸附至該第一層的一表面上,從而形成一第三半反應;和 將該含氧反應物或一替代的含氧反應物注入該沉積腔室中,從而形成一第四半反應和一第二層;和 重複該沉積循環一次或多次直至實現一目標厚度。
  14. 如請求項10所述的方法,其中沉積該抗電漿塗層之步驟包括藉由以下步驟將一含釔氧化物和一種或多種額外氧化物共沉積以形成一單相或多相含釔氧化物: 執行一沉積循環,包括以下步驟: 將用於該含釔氧化物的一第一前驅物和用於該額外氧化物的一第二前驅物的一混合物共注入容納有該腔室部件的一沉積腔室中以致使該第一前驅物和該第二前驅物吸附至該等孔隙壁上,從而形成一第一半反應;和 將一含氧反應物注入該沉積腔室中,從而形成一第二半反應;和 重複該沉積循環一次或多次直至實現一目標厚度。
  15. 如請求項14所述的方法,其中該一種或多種額外氧化物選自由Al2 O3 和ZrO2 所組成的一群組。
  16. 如請求項14所述的方法,其中該含釔氧化物選自由以下項所組成的一群組:Y3 Al5 O12 (YAG)、一Y2 O3 -ZrO2 固溶體和包括Y4 Al2 O9 和一Y2 O3 -ZrO2 固溶體的一陶瓷化合物。
  17. 如請求項10所述的方法,其中該多孔腔室部件基本上由一兩相材料組成,該兩相材料包括一第一氧化物的燒結顆粒和用作該第一氧化物的該等燒結顆粒的一黏結劑的一第二氧化物,其中該第一氧化物選自由氧化鋁和氮化鋁所組成的一群組並且該第二氧化物是二氧化矽。
  18. 如請求項10所述的方法,其中該多孔腔室部件是用於一靜電夾盤的一插塞。
  19. 如請求項10所述的方法,進一步包括以下步驟: 確定該多孔腔室部件的一初始孔隙率或一初始滲透率中的至少一者; 確定用於該多孔腔室部件的一目標孔隙率或一目標滲透率中的至少一者; 確定將該初始孔隙率降低至該目標孔隙率或將該初始滲透率降低至該目標滲透率中的至少一者的該抗電漿塗層的一目標厚度,其中該抗電漿塗層具有該目標厚度。
  20. 一種方法,包括以下步驟: 將複數個多孔陶瓷插塞一起載入一沉積腔室中,該複數個多孔陶瓷插塞中的一多孔陶瓷插塞包括複數個孔隙,該複數個孔隙各自包括孔隙壁,其中該多孔陶瓷插塞對一氣體是可滲透的;和 執行原子層沉積以同時在該複數個多孔陶瓷插塞上沉積一氧化鋁塗層,其中對該複數個多孔陶瓷插塞中的該多孔陶瓷插塞執行該原子層沉積之步驟包括以下步驟: 將該氧化鋁塗層沉積到該多孔陶瓷插塞的一表面上;和 將該氧化鋁塗層沉積到該多孔陶瓷插塞內的該複數個孔隙的該等孔隙壁上; 該氧化鋁塗層具有約5 nm至約3 µm的一厚度,其中該氧化鋁塗層保護該等孔隙壁不受侵蝕,並且其中在執行該原子層沉積之後,具有該抗電漿塗層的該多孔陶瓷插塞保持對該氣體是可滲透的。
TW107102845A 2017-03-17 2018-01-26 原子層沉積之多孔體的抗電漿塗佈 TWI748046B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/462,718 2017-03-17
US15/462,718 US10975469B2 (en) 2017-03-17 2017-03-17 Plasma resistant coating of porous body by atomic layer deposition
US15/849,277 2017-12-20
US15/849,277 US10745805B2 (en) 2017-03-17 2017-12-20 Plasma resistant coating of porous body by atomic layer deposition

Publications (2)

Publication Number Publication Date
TW201842223A true TW201842223A (zh) 2018-12-01
TWI748046B TWI748046B (zh) 2021-12-01

Family

ID=63521058

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107106462A TWI791489B (zh) 2017-03-17 2018-01-26 原子層沉積之多孔體的抗電漿塗佈
TW107102845A TWI748046B (zh) 2017-03-17 2018-01-26 原子層沉積之多孔體的抗電漿塗佈

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107106462A TWI791489B (zh) 2017-03-17 2018-01-26 原子層沉積之多孔體的抗電漿塗佈

Country Status (6)

Country Link
US (2) US10975469B2 (zh)
JP (2) JP7093192B2 (zh)
KR (2) KR102592883B1 (zh)
CN (2) CN108623328A (zh)
SG (1) SG10201800532UA (zh)
TW (2) TWI791489B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750642B (zh) * 2019-07-17 2021-12-21 日商柯尼卡美能達股份有限公司 微結構體之製造方法及微結構體之製造裝置

Families Citing this family (197)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
WO2018173812A1 (ja) * 2017-03-22 2018-09-27 国立研究開発法人日本原子力研究開発機構 イオンビーム機能性透過膜、イオンビーム機能性透過膜を用いたビームライン機器、イオンビーム機能性透過膜を用いたフィルター機器、フィルター機器の調整方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7085015B2 (ja) * 2018-10-30 2022-06-15 京セラ株式会社 多孔質セラミックス、半導体製造装置用部材、シャワープレートおよびプラグ
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP2022536677A (ja) * 2019-06-12 2022-08-18 ラム リサーチ コーポレーション プラズマ処理チャンバ構成部品のためのシーラント被膜
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11258078B2 (en) 2019-08-09 2022-02-22 Hamilton Sundstrand Corporation Conductor assembly
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112553592B (zh) * 2019-09-25 2023-03-31 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
TWI707058B (zh) * 2019-12-19 2020-10-11 汎銓科技股份有限公司 一種物性分析試片的製備方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20220156059A (ko) * 2020-03-20 2022-11-24 램 리써치 코포레이션 다층 보호 표면을 갖는 플라즈마 프로세싱 챔버
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
WO2021220943A1 (ja) * 2020-04-27 2021-11-04 京セラ株式会社 通気性部材、半導体製造装置用部材、プラグおよび吸着部材
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
CN113594013B (zh) * 2020-04-30 2024-01-26 中微半导体设备(上海)股份有限公司 零部件、其形成涂层的方法及装置和等离子体反应装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
WO2021241645A1 (ja) * 2020-05-28 2021-12-02 京セラ株式会社 通気性プラグ、基板支持アセンブリおよびシャワープレート
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919463A1 (en) * 2020-06-02 2021-12-08 Heraeus GMSI LLC Plasma resistant ceramic body formed from multiple pieces
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068273B (zh) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 一种零部件及其制备方法和等离子体反应装置
US20230317424A1 (en) * 2020-08-21 2023-10-05 Lam Research Corporation Erosion resistant plasma processing chamber components
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220033661A (ko) * 2020-09-09 2022-03-17 (주)포인트엔지니어링 내식층이 구비된 부품
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
CN114496690A (zh) * 2020-10-27 2022-05-13 中微半导体设备(上海)股份有限公司 耐等离子体半导体零部件和形成方法、等离子体反应装置
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102587791B1 (ko) * 2021-12-30 2023-10-12 한국세라믹기술원 미세채널을 갖거나 다공성재질을 갖는 피증착물에 대한 원자층증착 시뮬레이션 방법
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
CN115637418A (zh) * 2022-10-12 2023-01-24 中微半导体设备(上海)股份有限公司 形成涂层的方法、涂覆装置、零部件及等离子体反应装置

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724169A (en) 1984-10-09 1988-02-09 Ovonic Synthetic Materials Company, Inc. Method of producing multilayer coatings on a substrate
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5322813A (en) 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
US6581275B2 (en) * 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
JP4534565B2 (ja) * 2004-04-16 2010-09-01 株式会社デンソー セラミック多孔質の製造方法
WO2006043429A1 (ja) 2004-10-18 2006-04-27 Nihon Ceratec Co., Ltd. 耐食性部材およびその製造方法
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
EP1780298A4 (en) 2005-07-29 2009-01-07 Tocalo Co Ltd Part Coated with Y203 Thermally Sprayed Film and Method of Making the Same
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7479464B2 (en) * 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US20080265565A1 (en) * 2007-04-26 2008-10-30 Comdel Innovations Inc. Suction coupling system and assembly
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI351057B (en) * 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
KR101660052B1 (ko) 2008-06-05 2016-09-26 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 란탄족 함유 전구체의 제조 및 란탄족 함유 필름의 증착 방법
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
DE102010015470A1 (de) * 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
EP2681045A4 (en) * 2011-03-02 2015-01-14 Applied Thin Films Inc PROTECTIVE INTERIOR COATINGS FOR POROUS SUBSTRATES
US10138169B2 (en) 2011-04-04 2018-11-27 The Regents Of The University Of Colorado, A Body Corporate Highly porous ceramic material and method of using and forming same
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
JP6034156B2 (ja) 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI432096B (zh) 2011-12-27 2014-03-21 Ind Tech Res Inst 燈管控制系統、燈管節能系統及其節能方法
CN104080853B (zh) 2012-02-16 2017-04-26 博里利斯股份公司 半导电聚合物组合物
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
JP5956379B2 (ja) 2012-04-27 2016-07-27 日本碍子株式会社 半導体製造装置用部材
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
GB2509335A (en) 2012-12-31 2014-07-02 Univ Tartu Double-structured corrosion resistant coatings and methods of application
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
CN106029948B (zh) * 2014-01-17 2020-02-21 Iones株式会社 用于形成具有改善的等离子体耐受性的陶瓷涂层的方法和由此形成的陶瓷涂层
SG10201806706VA (en) 2014-02-07 2018-09-27 Entegris Inc Electrostatic chuck and method of making same
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10266943B2 (en) * 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
SG11201706564UA (en) 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
TWI751106B (zh) * 2015-05-08 2022-01-01 日商東京威力科創股份有限公司 熔射用材料、熔射被膜及附熔射被膜之構件
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI750642B (zh) * 2019-07-17 2021-12-21 日商柯尼卡美能達股份有限公司 微結構體之製造方法及微結構體之製造裝置

Also Published As

Publication number Publication date
JP2018162205A (ja) 2018-10-18
KR20180106870A (ko) 2018-10-01
JP7093192B2 (ja) 2022-06-29
US10745805B2 (en) 2020-08-18
US10975469B2 (en) 2021-04-13
KR20180106858A (ko) 2018-10-01
TWI748046B (zh) 2021-12-01
US20180265973A1 (en) 2018-09-20
US20180265972A1 (en) 2018-09-20
KR102593334B1 (ko) 2023-10-23
JP7296698B2 (ja) 2023-06-23
CN108623330A (zh) 2018-10-09
CN108623328A (zh) 2018-10-09
TWI791489B (zh) 2023-02-11
TW201840890A (zh) 2018-11-16
SG10201800532UA (en) 2018-10-30
JP2018168472A (ja) 2018-11-01
KR102592883B1 (ko) 2023-10-20

Similar Documents

Publication Publication Date Title
TWI791489B (zh) 原子層沉積之多孔體的抗電漿塗佈
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
US11639547B2 (en) Halogen resistant coatings and methods of making and using thereof
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
US12002657B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
CN113652669B (zh) 通过原子层沉积获得的多层抗等离子体涂层