CN108623328A - 多孔主体的通过原子层沉积的抗等离子体涂层 - Google Patents

多孔主体的通过原子层沉积的抗等离子体涂层 Download PDF

Info

Publication number
CN108623328A
CN108623328A CN201810074077.1A CN201810074077A CN108623328A CN 108623328 A CN108623328 A CN 108623328A CN 201810074077 A CN201810074077 A CN 201810074077A CN 108623328 A CN108623328 A CN 108623328A
Authority
CN
China
Prior art keywords
oxide
layer
resistant coating
plasma resistant
porous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810074077.1A
Other languages
English (en)
Inventor
V·菲鲁兹多尔
S·班达
R·丁德萨
D·卞
D·M·勒夫尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201810218192.1A priority Critical patent/CN108623330A/zh
Publication of CN108623328A publication Critical patent/CN108623328A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/87Ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5031Alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5045Rare-earth oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/89Coating or impregnation for obtaining at least two superposed coatings having different compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

公开了多孔主体的通过原子层沉积的抗等离子体涂层。本文描述了使用原子层沉积(ALD)工艺将抗等离子体涂层沉积到多孔腔室部件的表面上并沉积到所述多孔腔室部件内的孔隙壁上的制品、系统和方法。多孔腔室部件可以包括多孔主体,多孔主体包括多孔主体内的多个孔隙,多个孔隙各自包括孔隙壁。多孔主体对气体是可渗透的。抗等离子体涂层可以包含Y2O3‑ZrO2固溶体并且可以具有约5nm至约3μm的厚度,并且可以保护孔隙壁不受侵蚀。具有抗等离子体涂层的多孔主体保持对气体是可渗透的。

Description

多孔主体的通过原子层沉积的抗等离子体涂层
技术领域
本公开内容的实施方式涉及制品、被涂覆的腔室部件和利用抗等离子体涂层涂覆腔室部件的方法。抗等离子体涂层可以包括高纯度氧化物层,所述氧化物层涂覆多孔部件的全部表面,在所述部件内包括孔隙壁。可选地,抗等离子体涂层可以包括含稀土金属的氧化物层和/或氧化铝层。涂层使用非视线技术(诸如原子层沉积)形成。
背景技术
各种制造工艺将半导体工艺腔室部件暴露至高温、高能量等离子体、腐蚀性气体的混合物、高应力、和它们的组合。这些极端条件可能侵蚀和/或腐蚀腔室部件,从而增加腔室部件易受缺陷的影响。期望减少这些缺陷并改良部件在此类极端环境中的抗侵蚀性和/或抗腐蚀性。
保护性涂层通常通过各种方法(诸如热喷涂、溅射、离子辅助沉积(IAD)、等离子体喷涂或蒸发技术)沉积在腔室部件上。这些技术一般无法将涂层沉积到此类腔室部件内的孔隙的孔隙壁上。
发明内容
本文中所述的一些实施方式涉及经涂覆的多孔制品(例如,来自静电夹盘的多孔插塞)。所述制品包括:多孔主体,所述多孔主体包括所述多孔主体内的多个孔隙,所述多个孔隙各自包括孔隙壁。多孔主体对气体是可渗透的。所述制品进一步包括在多孔主体的表面上和在所述多孔主体内的多个孔隙的孔隙壁上的抗等离子体涂层。抗等离子体涂层可以具有约5nm至约3μm的厚度。抗等离子体涂层保护孔隙壁不受侵蚀。具有抗等离子体涂层的多孔主体保持对气体是可渗透的。
在一些实施方式中,一种方法包括进行原子层沉积以在包括多个孔隙的多孔腔室部件上沉积抗等离子体涂层,所述多个孔隙各自包括孔隙壁。多孔主体对气体是可渗透的。进行原子层沉积包括将抗等离子体涂层沉积到多孔腔室部件的表面上并且将所述抗等离子体涂层沉积到所述多孔腔室部件内的多个孔隙的孔隙壁上。抗等离子体涂层可以具有约5nm至约3μm的厚度,其中所述抗等离子体涂层保护孔隙壁不受侵蚀,并且其中在进行原子层沉积之后,具有所述抗等离子体涂层的多孔腔室部件保持对气体是可渗透的。
在一些实施方式中,一种方法包括将多个多孔陶瓷插塞一起载入沉积腔室中。多个多孔陶瓷插塞中的多孔陶瓷插塞对气体是可渗透的并且包括多个孔隙,所述多个孔隙各自包括孔隙壁。所述方法进一步包括进行原子层沉积以同时在多个多孔陶瓷插塞上沉积氧化铝涂层。对所述多个多孔陶瓷插塞中的多孔陶瓷插塞进行原子层沉积包括将氧化铝涂层沉积到所述多孔陶瓷插塞的表面上并且将所述氧化铝涂层沉积到所述多孔陶瓷插塞内的多个孔隙的孔隙壁上。氧化铝涂层可以具有约5nm至约3μm的厚度。氧化铝涂层保护孔隙壁不受侵蚀,并且在进行原子层沉积之后,具有抗等离子体涂层的多孔陶瓷插塞保持对气体是可渗透的。
附图说明
本公开内容在随附附图中的各个附图中以示例的方式而非以限制的方式示出,其中相似参考标记指示类似元件。应当注意,在本公开内容中,对“一种”或“一个”实施方式的不同引用不一定指相同实施方式,并且此类引用表示至少一个。
图1描绘了处理腔室的截面图。
图2A描绘了根据如本文所述的原子层沉积技术的沉积工艺的一个实施方式。
图2B描绘了根据如本文所述的原子层沉积技术的沉积工艺的另一实施方式。
图2C描绘了根据如本文所述的原子层沉积技术的沉积工艺的另一实施方式。
图2D描绘了根据如本文所述的原子层沉积技术的沉积工艺的另一实施方式。
图3A示出了使用如本文所述的原子层沉积产生抗等离子体涂层的方法。
图3B示出了使用如本文所述的原子层沉积产生抗等离子体涂层的方法。
图4A描绘了根据各个实施方式的用于静电夹盘腔室部件的插塞。
图4B描绘了在用于静电夹盘的插塞内的孔隙的放大图,其中每个孔隙的内表面利用如本文所述的抗等离子体涂层涂覆。
图4C描绘了包括已经根据本文中所述的实施方式涂覆的多个多孔陶瓷插塞的基板支撑组件。
图5A是示出根据本文中所述的实施方式涂覆的多孔插塞的形态的自上向下图像。
图5B是根据本文中所述的实施方式涂覆的多孔插塞的横截面图。
图6是示出关于陶瓷中的新的和已使用的多孔插塞的能量分散x射线微量分析的结果的图表。
具体实施方式
本文中所述的实施方式涵盖制品、经涂覆的腔室部件和将抗等离子体涂层沉积到所述制品的多孔陶瓷主体内的孔隙的孔隙壁上的方法。抗等离子体涂层可以是高纯度金属氧化物层(例如,高纯度氧化铝)或含稀土金属的氧化物层(例如,含钇的氧化物层)。抗等离子体涂层另外可以是包括一层或多层金属氧化物层以及一层或多层含稀土金属的氧化物层的多层涂层。如本文所使用,术语抗等离子体表示对至少一种类型的气体的等离子体以及至少一种类型的气体的化学剂和自由基由抗性。制品可以是多孔陶瓷材料。沉积工艺是非视线工艺,诸如原子层沉积(ALD)工艺。
在一些实施方式中,抗等离子体涂层的厚度可以是约5nm至约300nm。抗等离子体涂层可以保形地覆盖腔室部件的表面以及腔室部件内的孔隙的具有实质上均匀的厚度的孔隙壁。在一个实施方式中,抗等离子体涂层具有对以均匀厚度涂覆的下层表面(包括经涂覆的孔隙壁)的保形覆盖,均匀厚度具有小于+/-20%的厚度变化、或小于+/-10%的厚度变化、或小于+/-5%的厚度变化、或更低的厚度变化。
本文中所述的实施方式使得能够利用抗等离子体涂层有效地涂覆多孔陶瓷主体(诸如用于静电夹盘的多孔陶瓷插塞)内的孔隙壁。多孔陶瓷主体可以对一种或多种气体是可渗透的。孔隙壁上的抗等离子体涂层可以保护孔隙壁不受一种或多种气体侵蚀而不堵塞多孔陶瓷主体。由此,多孔陶瓷主体可以在涂覆抗等离子体涂层之后保持对一种或多种气体是可渗透的。抗等离子体涂层也是致密的,具有约0%的孔隙率(例如,在实施方式中,抗等离子体涂层可以是无孔隙率的)。抗等离子体涂层可以抵抗来自等离子体蚀刻化学剂(诸如CCl4/CHF3等离子体蚀刻化学剂、HCl3Si蚀刻化学剂和NF3蚀刻化学剂)的腐蚀和侵蚀。
ALD允许材料通过与制品的表面的化学反应而进行的受控自我限制沉积。除了是保形工艺之外,ALD也是均匀工艺。制品的全部的暴露侧面(包括高深宽比特征(例如,约3:1至300:1))将具有相同或近似相同的量的所沉积材料。如本文所阐述,在多孔陶瓷主体内的孔隙的内壁也使用ALD工艺涂覆而不堵塞多孔陶瓷主体或降低多孔陶瓷主体的渗透率。ALD工艺的典型反应循环开始于前驱物(即,单一化学剂A)、流动至ALD腔室中并吸附至制品表面(包括在所述制品内的孔隙壁的表面)上。接着,在将反应物(即,单一化学剂R)引入ALD腔室中并接着冲洗掉之前,将多余的前驱物从ALD腔室冲洗掉。对于ALD,由于每个反应循环都将生长特定厚度的层(其可以是一个原子层或原子层的一部分),材料的最终厚度取决于进行的反应循环的数量。
不像通常用于在具有高深宽比特征(例如,孔隙)的多孔部件上沉积涂层的其他技术,诸如等离子体喷涂和离子辅助沉积,ALD技术可以在此类特征内(即,在多孔部件内的孔隙的孔隙壁上)沉积材料层。另外,ALD技术产生无孔隙率(即,无针孔)的相对薄(即,1μm或更小)的涂层,这可消除在沉积期间的裂缝形成。如本文所使用的术语“无孔隙率”表示如由透射电子显微镜(TEM)测量的沿着涂层的整个深度都不存在任何孔隙、针孔、空隙、或裂缝。TEM可以使用通过聚焦的离子束研磨制备的100nm厚的TEM薄层进行,其中在亮视野、暗视野、或高分辨率模式中TEM以200kV操作。相比之下,利用常规的电子束IAD或等离子体喷涂技术,不会涂覆在多孔部件内的孔隙的孔隙壁。相反,以覆盖和堵塞孔隙以及降低或消除多孔部件的渗透率的方式涂覆多孔部件表面。
多孔工艺腔室部件(诸如用于静电夹盘(ESC)的插塞)将受益于具有这些抗等离子体涂层以在苛刻蚀刻环境中保护部件,同时不影响它们的性能。常规沉积方法也可产生降低插塞的孔隙率并且由此影响它们的性能的涂层。插塞对至少一些气体是可渗透的并且被设计以过滤气体颗粒或阻挡自由基穿透至ESC的空腔并且防止在ESC中点燃次级等离子体。因此,一些实施方式的目的是维持插塞的孔隙率和/或渗透率。本文中所述的实施方式实现利用抗等离子体涂层涂覆多孔陶瓷制品(诸如先前所提及的多孔腔室部件)的内孔隙壁,所述抗等离子体涂层保护制品而不影响它们的孔隙率或渗透率。
图1是根据实施方式的具有一个或多个利用抗等离子体涂层涂覆的腔室部件的半导体处理腔室100的截面图。处理腔室100可以用于其中提供具有等离子体处理条件的腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器、等离子体增强的CVD或ALD反应器等等的腔室。可包括抗等离子体涂层的腔室部件的示例包括静电夹盘(ESC)150的多孔陶瓷插塞。下文更详细描述的抗等离子体涂层通过ALD施加。ALD允许在全部类型部件上施加无孔隙率的实质上均匀的厚度的保形涂层,所述全部类型部件包括具有复杂形状和有高深宽比的特征的多孔部件。
抗等离子体涂层可以利用用于金属氧化物层的前驱物(诸如含铝前驱物)使用ALD而生长或沉积。抗等离子体涂层可以额外或替代地利用用于沉积含稀土金属的氧化物或与一种或多种额外氧化物结合地共沉积含稀土金属的氧化物以形成含稀土金属的氧化物层的一种或多种前驱物使用ALD而生长或沉积。在一个实施方式中,含稀土金属的氧化物层具有多晶结构。或者,含稀土金属的氧化物层可以具有无定形结构。含稀土金属的氧化物可以包括钇、钽、锆和/或铒。例如,含稀土金属的氧化物可以是氧化钇(Y2O3)、氧化铒(Er2O3)、氧化锆(ZrO2)、氧化钽(Ta2O5)、等等。在实施方式中,含稀土金属的氧化物是多晶氧化钇。在其他实施方式中,含稀土金属的氧化物是无定形氧化钇。含稀土金属的氧化物也可包括与一种或多种稀土元素(诸如钇、锆和/或铒)混合的铝。可与含稀土金属的氧化物共沉积以形成含稀土金属的氧化物层的额外氧化物(或多种额外氧化物)可以包括氧化锆(ZrO2)、氧化铝(Al2O3)、氧化铒(Er2O3)、或它们的组合。用于多层抗等离子体涂层的含钇氧化物层可以是例如YxZryOz、YaZrxAlyOz、YxAlyOz、或YxEryOz。含钇氧化物可以是具有Yttriaite的氧化钇(Y2O3),Yttriaite具有带有空间群Ia-3(206)的立方结构。
在一个实施方式中,含稀土金属的氧化物层是Y2O3、Er2O3、Y3Al5O12(YAG)、Er3Al5O12(EAG)、或Y4Al2O9(YAM)之一。含稀土金属的氧化物层也可以是YAlO3(YAP)、Er4Al2O9(EAM)、ErAlO3(EAP)、Y2O3-ZrO2固溶体和/或包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。
参考Y2O3-ZrO2固溶体,含稀土金属的氧化物层可以包含以10-90摩尔比(mol%)的浓度的Y2O3和呈10-90mol%的浓度的ZrO2。在一些示例中,Y2O3-ZrO2固溶体可以包含10-20mol%的Y2O3和80-90mol%的ZrO2、可以包含20-30mol%的Y2O3和70-80mol%的ZrO2、可以包含30-40mol%的Y2O3和60-70mol%的ZrO2、可以包含40-50mol%的Y2O3和50-60mol%的ZrO2、可以包含60-70mol%的Y2O3和30-40mol%的ZrO2、可以包含70-80mol%的Y2O3和20-30mol%的ZrO2、可以包含80-90mol%的Y2O3和10-20mol%的ZrO2、等等。
参考包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物,在一个实施方式中,陶瓷化合物包含62.93摩尔比(mol%)的Y2O3、23.23mol%的ZrO2和13.94mol%的Al2O3。在另一实施方式中,陶瓷化合物可以包含在50-75mol%的范围内的Y2O3、在10-30mol%的范围内的ZrO2和在10-30mol%的范围内的Al2O3。在另一实施方式中,陶瓷化合物可以包含在40-100mol%的范围内的Y2O3、在0.1-60mol%的范围内的ZrO2和在0.1-10mol%的范围内的Al2O3。在另一实施方式中,陶瓷化合物可以包含在40-60mol%的范围内的Y2O3、在30-50mol%的范围内的ZrO2和在10-20mol%的范围内的Al2O3。在另一实施方式中,陶瓷化合物可以包含在40-50mol%的范围内的Y2O3、在20-40mol%的范围内的ZrO2和在20-40mol%的范围内的Al2O3。在另一实施方式中,陶瓷化合物可以包含在70-90mol%的范围内的Y2O3、在0.1-20mol%的范围内的ZrO2和在10-20mol%的范围内的Al2O3。在另一实施方式中,陶瓷化合物可以包括在60-80mol%的范围内的Y2O3、在0.1-10mol%的范围内的ZrO2和在20-40mol%的范围内的Al2O3。在另一实施方式中,陶瓷化合物可以包含在40-60mol%的范围内的Y2O3、在0.1-20mol%的范围内的ZrO2和在30-40mol%的范围内的Al2O3。在其他实施方式中,其他分配也可用于陶瓷化合物。
在一个实施方式中,包含Y2O3、ZrO2、Er2O3、Gd2O3和SiO2的组合的替代陶瓷化合物用于含稀土金属的氧化物层。在一个实施方式中,替代陶瓷化合物可以包含在40-45mol%的范围内的Y2O3、在0-10mol%的范围内的ZrO2、在35-40mol%的范围内的Er2O3、在5-10mol%的范围内的Gd2O3和在5-15mol%的范围内的SiO2。在第一示例中,替代陶瓷化合物包含40mol%的Y2O3、5mol%的ZrO2、35mol%的Er2O3、5mol%的Gd2O3和15mol%的SiO2。在第二示例中,替代陶瓷化合物包含45mol%的Y2O3、5mol%的ZrO2、35mol%的Er2O3、10mol%的Gd2O3和5mol%的SiO2。在第三示例中,替代陶瓷化合物包含40mol%的Y2O3、5mol%的ZrO2、40mol%的Er2O3、7mol%的Gd2O3和8mol%的SiO2
先前提及的含稀土金属的氧化物层中的任一者可以包括痕量的其他材料,诸如ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3、或其他氧化物。
金属氧化物层可以包括高纯度铝氧化物或相似的材料,当单独使用时,这种相似材料保护部件不受至少一些等离子体的等离子体腐蚀。此举也改良了含稀土金属的氧化物层(当使用时)对腔室部件的粘附力并提供了对在实施方式中在达约350℃的温度下或在约200℃或从约200℃至约350℃的温度下抗等离子体涂层发生断裂和分层的热阻。
在一个实施方式中,处理腔室100包括封闭内部容积106的腔室主体102和喷头130。喷头130可以包括喷头基部和喷头气体分配板。或者,在一些实施方式中,喷头130可以由盖和喷嘴替代,或者在其他实施方式中,由多个饼形喷头隔室和等离子体产生单元替代。腔室主体102可以由铝、不锈钢或其他合适材料制造。腔室主体102一般包括侧壁108和底部110。
外部衬里116可邻近侧壁108设置以保护腔室主体102。外部衬里116可以利用双层涂层制造和/或涂覆。在一个实施方式中,外部衬里116由氧化铝制造。
排气口126可以限定在腔室主体102中,并且可以将内部容积106耦接至泵系统128。泵系统128可以包括用于抽空和调节处理腔室100的内部容积106的压力的一个或多个泵和节流阀。
喷头130可以支撑在腔室主体102的侧壁108上。喷头130(或盖)可打开以允许接入处理腔室100的内部容积106,并且可以在关闭时为处理腔室100提供密封。气体面板158可耦接至处理腔室100以通过喷头130或盖和喷嘴向内部容积106提供工艺和/或清洁气体。喷头130可以用于处理腔室,处理腔室用于电介质蚀刻(电介质材料蚀刻)。喷头130包括气体分配板(GDP)133,GDP 133具有贯穿GDP 133的多个气体输送孔132。喷头130可以包括粘结至铝基部或阳极化铝基部的GDP 133。GDP 133可以由Si或SiC制成,或可以是陶瓷,诸如Y2O3、Al2O3、Y3Al5O12(YAG)、等等。
对于用于导体蚀刻(导电材料蚀刻)的处理腔室,可以使用盖而非喷头。盖可以包括中心喷嘴,中心喷嘴适配至盖的中心孔中。盖可以是陶瓷,诸如Al2O3、Y2O3、YAG、或包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。喷嘴也可以是陶瓷,诸如Y2O3、YAG、或包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。
可以用于在处理腔室100中处理基板的处理气体的示例包括含卤素的气体(诸如尤其是C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4)和其他气体(诸如O2、或N2O)。载体气体的示例包括N2、He、Ar、和对工艺气体惰性的其他气体(例如,非反应性气体)。基板支撑组件148设置在处理腔室100在喷头130或盖之下的内部容积106中。基板支撑组件148在处理期间会固持基板144。环146(例如,单一环)可以覆盖静电夹盘150的一部分,并且可保护所覆盖的部分在处理期间不暴露于等离子体。在一个实施方式中,环146可以是硅或石英。
内部衬里118可以涂覆在基板支撑组件148的周边上。内部衬里118可以是含卤素的气体抗蚀剂材料,诸如参考外部衬里116所论述的那些。在一个实施方式中,内部衬里118可以由与外部衬里116相同的材料制造。
在一个实施方式中,基板支撑组件148包括支撑基座152的安装板162、和静电夹盘150。静电夹盘150进一步包括了导热基部164和通过粘结剂138粘结至导热基部的静电定位盘166,粘结剂在一个实施方式中可以是硅氧烷粘结剂。在所示出的实施方式中,静电定位盘166的上表面可以由抗等离子体涂层136覆盖。抗等离子体涂层136可以设置在静电夹盘150的整个暴露表面上,包括导热基部164和静电定位盘166的外周边和侧周边以及在静电夹盘中具有大深宽比的任何其他复杂几何形状的部件或孔。在一个实施方式中,抗等离子体涂层涂覆插入静电定位盘166中的一个或多个孔中的陶瓷插塞(未示出)内的孔隙的孔隙壁。陶瓷插塞在下文中关于图4A至图4C更详细地描述。安装板162被耦接至腔室主体102的底部110并且包括用于将设施(例如,流体、电力线路、传感器引线、等等)布线至导热基部164和静电定位盘166的通道。
导热基部164和/或静电夹盘166可包括一个或多个可选的嵌入式加热元件176、嵌入式热绝缘体174和/或导管168、170以控制基板支撑组件148的横向温度分布。导管168、170可流体耦接至流体源172,所述流体源通过导管168、170循环温度调节流体。在一个实施方式中,嵌入式绝缘体174可以设置在导管168、170之间。加热器176由加热器电源178调节。导管168、170和加热器176可以用于控制导热基部164的温度。导管和加热器加热和/或冷却静电定位盘166和正处理的基板144(例如,晶片)。静电定位盘166和导热基部164的温度可以使用多个温度传感器190、192进行监视,所述温度传感器可以使用控制器195进行监视。
静电定位盘166可进一步包括多个气体通道,诸如凹槽、台面和可形成在夹盘166的上表面中的其他表面特征。气体通道可流体耦接至传热(或背侧)气体的源,诸如静电定位盘166中钻出的He通孔。在操作中,可以在受控压力下将背侧气体提供至气体通道中以增强静电定位盘166与基板144之间的传热。He通孔可以由可渗透He的多孔陶瓷插塞堵塞。多孔陶瓷插塞也可以是可至少部分地渗透用于清洁半导体处理腔室100的腐蚀性气体和等离子体。多孔陶瓷插塞可以过滤腐蚀性气体的气体颗粒并且防止此类腐蚀性气体穿透到基板支撑组件中。多孔陶瓷插塞可以另外防止在静电定位盘166中的He通孔中形成次级等离子体。然而,多孔陶瓷插塞可能在重复清洁循环之后侵蚀。另外,多孔陶瓷插塞的化学剂可随着所述多孔陶瓷插塞暴露于氟(例如,多孔陶瓷插塞可能损失Si并且增加氟)而改变。由此,多孔陶瓷插塞可根据本文中的实施方式进行涂覆以延长所述多孔陶瓷插塞的寿命。
静电定位盘166包括由夹紧电源182控制的至少一者夹持电极180。夹持电极180(或设置在静电定位盘166或基部164中的其他电极)可通过匹配电路188进一步耦接至一个或多个RF电源184、186以维持由处理腔室100内的工艺气体和/或其他气体形成的等离子体。RF电源184、186一般能够产生具有从约50kHz至约3Ghz的频率和高达约10,000瓦的功率的RF信号。
图2A描绘了根据用于在制品上(包括在制品内的孔隙壁上)生长或沉积抗等离子体涂层的ALD技术的沉积工艺的一个实施方式。图2B描绘了根据用于在制品上(包括在制品内的孔隙壁上)生长或沉积多层抗等离子体涂层的ALD技术的沉积工艺的一个实施方式。图2C描绘了根据如本文所述的原子层沉积技术的沉积工艺的另一实施方式。图2D描绘了根据如本文所述的原子层沉积技术的沉积工艺的另一实施方式。
存在各种类型的ALD工艺,并且可以基于多个因素(诸如待涂覆的表面、涂层材料、在表面与涂层材料之间的化学相互作用等等)选择特定类型。关于各种ALD工艺的一般原理包括通过将待涂覆的表面重复地暴露于气体化学前驱物的脉冲来生长薄膜层,气体化学前驱物的脉冲以自我限制的方式一次一个地与该表面化学反应。
图2A至图2D示出了具有表面的制品210。制品210可以表示各种多孔工艺腔室部件(例如,半导体工艺腔室部件),包括但不限于用于静电夹盘或基板支撑组件的多孔陶瓷插塞。制品210可以由陶瓷、金属陶瓷复合物(诸如AlO/SiO、AlO/MgO/SiO、SiC、SiN、AlN/SiO和类似者)、金属(诸如铝、不锈钢)、聚合物、聚合物陶瓷复合物、聚酯薄膜、聚酯、或其他合适材料制成,并且可进一步包含以下材料,诸如AlN、Si、SiC、Al2O3、SiO2、等等。在一个实施方式中,制品210是由两相材料构成的陶瓷多孔插塞,所述两相材料包括第一氧化物的烧结颗粒和用作第一氧化物的烧结颗粒的粘结剂的第二氧化物。两相材料可以布置在多孔基质中。例如,第一氧化物可以是Al2O3或AlN,并且第二氧化物可以是SiO2。对于此类材料的挑战是含SiO2相对氟化的化学剂几乎没有抗性并且被非常快速地蚀刻掉,从而导致多孔基质破裂并且产生颗粒。
对于ALD,将前驱物吸附至表面或使反应物与所吸附的前驱物的反应可被称为“半反应”。在第一半反应期间,将前驱物脉冲至制品210的表面上(包括脉冲至制品210内的孔隙壁的表面上)持续足够允许前驱物完全地吸附至表面上的时段。由于前驱物将吸附至表面上的有限数量可用位点上,从而在所述表面上形成均匀、连续的吸附层,吸附是自我限制的。已经吸附有前驱物的任何位点都将变得不可用于进一步吸附相同前驱物,除非和/或直至吸附位点经历将在均匀、连续涂层上形成新的可用位点的处理。示例性处理可以是等离子体处理、通过将均匀、连续的吸附层暴露于自由基而进行的处理、或引入能够与最近被吸附至表面的均匀、连续的层反应的不同前驱物。
在一些实现方式中,将两种或多种前驱物一起注入且使其吸附至制品表面上。过量前驱物泵出,直至注入含氧反应物以与被吸附物反应,从而形成固体单相或多相层(例如,YAG、Y2O3-ZrO2相、等等)。此新的层准备好在下一循环中吸附前驱物。
在图2A中,可将制品210引入第一前驱物260持续第一持续时间,直至制品210的表面完全地吸附有第一前驱物260以形成吸附层214。接着,可将制品210引入第一反应物265以与吸附层214反应,从而生长固体层216(例如,使得层216完全地生长或沉积,其中术语生长和沉积可以在本文中互换地使用)。第一前驱物260可以是用于高纯度金属氧化物(例如,高纯度氧化铝)的前驱物。如果层216是氧化物,那么第一反应物265可以是氧气、水蒸气、臭氧、纯氧气、氧自由基、或其他氧气源。由此,ALD可以用于形成层216。层216可以是抗等离子体涂层,或可以是多层抗等离子体涂层中的一层。
在层216是高纯度氧化铝(HP-Al2O3)层的示例中,可将制品210(例如,用于ESC的多孔陶瓷插塞)引入第一前驱物260(例如,三甲基铝(TMA))持续第一持续时间,直至制品表面上(包括在孔隙内)的全部反应位点被消耗。将剩余第一前驱物260冲洗掉并且随后将H2O的第一反应物265注入反应器以开始第二半循环。在H2O分子与由第一半反应产生的含Al吸附层反应之后,形成HP-Al2O3的层216。
层216可以是均匀、连续且保形的。层216可以是无孔隙率(例如,具有零孔隙率)的或在实施方式中具有近似为零的孔隙率(例如,0%至0.01%的孔隙率)。在一些实施方式中,在单一ALD沉积循环之后,层216可以具有小于一个原子层至几个原子的厚度。一些金属有机前驱物分子较大。在与反应物265反应之后,大有机配位体可以离开,留下小得多的金属原子。一个完整ALD循环(例如,包括引入前驱物260、接着引入反应物265)可以产生少于单一原子层。例如,通过TMA和H2O生长的Al2O3单层通常具有约0.9A/循环至约1.3A/循环的生长速率,同时Al2O3晶格常数是a-4.7A且c=13A(对于三角结构)。
多个完整ALD沉积循环可实现以沉积较厚的层216,其中每个完整循环(例如,包括引入前驱物260、冲洗、引入反应物265,并且再次冲洗)使厚度增加了额外几分之一原子至几个原子。如图所示,可进行多达n个完整循环以生长层216,其中n是大于1的整数值。在实施方式中,层216可以具有约5nm至约3μm的厚度。在另外实施方式中,层216具有约5nm至约300nm的厚度。层216可以在实施方式中具有约10nm至约150nm的厚度或在其他实施方式中具有约50nm至约100nm的厚度。
层216提供强的抗等离子体性和机械性质。层216可以保护部件不受腐蚀、增强电介质强度、提供含稀土金属氧化物层对部件(例如,由多孔陶瓷或Al6061、Al6063形成)的较佳的粘附性,并且可以防止抗等离子体涂层在高达约200℃、或高达约250℃、或从约200℃至约250℃的温度下断裂。在另外实施方式中,层216可以防止抗等离子体涂层在高达约350℃的温度下断裂。由于ALD用于进行沉积,因此可以涂覆高深宽比特征(诸如,在多孔材料中的喷头或孔隙中的气体输送孔)的内表面,并且因此可以保护部件整体不暴露于腐蚀性环境。
在实施方式中,层216可以是具有约89.99%至约99.99%的纯度的HP-Al2O3。与用于ESC插塞的典型陶瓷材料相比,高纯度Al2O3明显更抵抗等离子体腐蚀。此外,由于共有元素(例如,铝和氧),HP-Al2O3具有对陶瓷和铝基部件的良好的粘附性。相似地,同样由于共有元素(即,氧化物),HP-Al2O3具有对含稀土金属的氧化物的良好的粘附性。这些改良的界面减少了容易引发断裂的界面缺陷。
图2B描述了包括如参考图2A描述的层216的沉积的沉积工艺201。然而,图2B的沉积工艺201进一步包括沉积额外的层220以形成多层抗等离子体涂层。由此,在完成层216之后,可视情况将具有层216的制品210引入额外一种或多种前驱物270持续第二时段,直至层216完全地吸附有一种或多种额外前驱物270以形成吸附层218。接着,可将制品210引入反应物275以与吸附层218反应,从而生长固体含稀土金属的氧化物层220,为了简便,也被称为第二层220(例如,使得第二层220完全地生长或沉积)。在这个实施方式中,层216可以是无定形金属氧化物(例如,无定形HP-Al2O3)。由此,使用ALD在层216上方完全地生长或沉积第二层220。在示例中,前驱物270可以是在第一半循环中使用的含钇前驱物,并且反应物275可以是在第二半循环中使用的H2O。
第二层220可以形成可选的含钇氧化物层或其他含稀土金属的氧化物层,所述氧化物层可以是均匀、连续且保形的。第二层220可以在实施方式中具有小于1%的非常低的孔隙率,并且在另外实施方式中具有小于0.1%的孔隙率,并且在实施方式中具有约0%的孔隙率或在另外一些实施方式中无孔隙率。在单一完整ALD沉积循环之后,第二层220可以具有小于一个原子至几个原子(例如,2-3个原子)的厚度。多个ALD沉积阶段可实现以沉积较厚的第二层220,其中每个阶段使厚度增加了额外几分之一原子至几个原子。如图所示,完整沉积循环可重复m次以致使第二层220具有目标厚度,其中m是大于1的整数值。在实施方式中,第二层220可以具有约5nm至约3μm的厚度。在其他实施方式中,第二层220可以具有约5nm至约300nm的厚度。第二层220可以在实施方式中具有约10nm至约20nm的厚度或在一些实施方式中具有约50nm至约60nm的厚度。在其他实施方式中,第二层220可以具有约90nm至约110nm的厚度。
第二层220的厚度与层216的厚度的比率可以是200:1至1:200。第二层220的厚度与层216的厚度的较高比率(例如,200:1、100:1、50:1、20:1、10:1、5:1、2:1等等)提供了较佳的抗腐蚀性和抗侵蚀性,同时第二层220的厚度与层216的厚度的较低比率(例如,1:2、1:5、1:10、1:20、1:50、1:100、1:200)提供较佳的耐热性(例如,对由热循环导致的断裂和/或分层的改良的抵抗性)。
第二层220可以是先前提及的含稀土金属的氧化物层中的任一者。例如,第二层220可以是单独或与一种或多种其他稀土金属氧化物结合的Y2O3。在一些实施方式中,第二层220是由已经通过ALD共沉积的至少两种含稀土金属的氧化物前驱物(例如,Y2O3、Er2O3、Al2O3和ZrO2的一种或多种的组合)的混合物形成的单相材料。例如,第二层220可以是YxZryOz、YxEryOz、Y3Al5O12(YAG)、Y4Al2O9(YAM)、Y2O3稳定的ZrO2(YSZ)、或包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物之一。在一个实施方式中,层216是无定形HP-Al2O3并且第二层220是单独或在与一种或多种其他含稀土金属的氧化物材料的单相中的多晶或无定形含钇氧化物化合物(例如,Y2O3、YxAlyOz、YxZryOz、YxEryOz)。由此,层216可以是在沉积含钇氧化物层之前沉积的应力消除层。
在一些实施方式中,第二层220可以包含Er2O3、Y2O3、Al2O3、或ZrO2。在一些实施方式中,第二层220是ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、或EraYxZryOz中的至少一种的多组分材料(例如,Y2O3、ZrO2和Er2O3的单相固溶体)。第二层220也可以是Y3Al5O12(YAG)、Y4Al2O9(YAM)、Y2O3稳定的ZrO2(YSZ)、和包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物之一。在一个实施方式中,第二层220是含铒化合物(例如,Er2O3、ErxAlyOz、ErxZryOz、EraZrxAlyOz、YxEryOz、或EraYxZryOz)。
参考图2C至图2D,在一些实施方式中,抗等离子体涂层含有两个以上的层。特别地,抗等离子体涂层可以包括氧化物层和含稀土金属的氧化物层的一系列交替层,或可以包括层216、和用于含稀土金属的氧化物层的一系列交替层。在一些实施方式中,含稀土金属的氧化物层是交替子层的层。例如,含稀土金属的氧化物层可以是Y2O3和Al2O3的一系列的交替子层,Y2O3和ZrO2的一系列交替子层,Y2O3、Al2O3和ZrO2的一系列交替子层,等等。
参看图2C,可将具有层216的制品210插入沉积腔室。如参考图2A或图2B所阐述,可能已经形成层216。或者,可以提供不在其上形成层的制品210。可将制品210引入一种或多种前驱物280持续某个时段,直至层216或制品210完全地吸附有一种或多种额外前驱物280以形成吸附层222。接着,可将制品210引入反应物282以与吸附层222反应,从而生长固体金属氧化物层224。由此,使用ALD在层216上方完全地生长或沉积金属氧化物层224。在示例中,前驱物280可以是在第一半循环中使用的含钇前驱物,并且反应物282可以是在第二半循环中使用的H2O。金属氧化物层224可以是Y2O3、ZrO2、Al2O3、Er2O3、Ta2O5、或另一种氧化物的第一种。
可将具有层216和/或金属氧化物层224的制品210引入一种或多种前驱物284持续一持续时间,直至金属氧化物层224的表面完全地吸附有一种或多种前驱物284以形成吸附层226。接着,可将制品210引入反应物286以与吸附层226反应,从而生长额外固体金属氧化物层228。由此,使用ALD在金属氧化物层224上方完全地生长或沉积额外金属氧化物层228。在示例中,前驱物284可以是在第一半循环中使用的含锆前驱物,并且反应物286可以是在第二半循环中使用的H2O。金属氧化物层224可以是Y2O3、ZrO2、Al2O3、Er2O3、Ta2O5、或另一种氧化物的第二种。
如图所示,金属氧化物224和第二金属氧化物228的沉积可重复n次以形成交替层的堆叠237,其中n是大于2的整数值。N可以表示基于目标厚度和性质选择的有限数量的层。交替层的堆叠237可以被认为是含有多个交替子层的含稀土金属的氧化物层。由此,可重复地顺序引入前驱物280、反应物284、前驱物284和反应物286以生长或沉积额外的交替层230、232、234、236、等等。层224、224、230、232、234、236、等等中的每个可以是具有小于单一原子层至几个原子层的厚度的非常薄的层。例如,通过TMA和H2O生长的Al2O3单层通常具有约0.9至约1.3A/循环的生长速率,同时Al2O3晶格常数是a-4.7A和c=13A(对于三角结构)。
上文中所述的交替层224-236具有1:1比率,其中关于每个单层的第二金属氧化物存在单层第一金属氧化物。然而,在其他实施方式中,在不同类型的金属氧化物层之间可以存在其他比率,诸如2:1、3:1、4:1、等等。例如,在实施方式中,可以针对每个ZrO2层沉积两个Y2O3层。另外,交替层224-236的堆叠237已经被描述为两种类型的金属氧化物层的交替序列。然而,在其他实施方式中,两种以上的类型的金属氧化物层可以沉积在交替堆叠237中。例如,堆叠237可以包括三种不同的交替层(例如,Y2O3的第一层、Al2O3的第一层、ZrO2的第一层,Y2O3的第二层、Al2O3的第二层、ZrO2的第二层,等等)。
在已经形成交替层的堆叠237之后,可进行退火工艺以使得不同材料的交替层扩散至彼此中并且形成具有单相或多相的复杂氧化物。在退火工艺之后,交替层的堆叠237可以由此变为单层含稀土金属的氧化物层238。例如,如果在堆叠中的层是Y2O3、Al2O3、和ZrO2,那么所得含稀土金属的氧化物层238可以是包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。如果堆叠中的层是Y2O3和ZrO2,那么可以形成Y2O3-ZrO2固溶体。
参看图2D,可将具有层216的制品210插入沉积腔室。或者,可将不具有这种层216的制品210插入沉积腔室。如参考图2A或图2B所阐述,可能已经形成层216。可将制品210引入一种或多种前驱物290持续一持续时间,直至层216或制品210完全地吸附有一种或多种前驱物290以形成吸附层240。接着,可将制品210引入反应物292以与吸附层240反应,从而生长固体稀土氧化物层242。在实施方式中,前驱物290和反应物292可对应于前驱物270和反应物275。由此,使用ALD在层216上方完全地生长或沉积稀土氧化物层242。引入前驱物290且随后引入反应物292的工艺可重复n次以致使稀土氧化物层242具有目标厚度,其中n是大于1的整数。
可以将具有层216和/或稀土氧化物层242的制品210引入一种或多种前驱物294持续某个时段,直至稀土氧化物层242的表面完全地吸附有一种或多种前驱物294以形成吸附层244。接着,可将制品210引入反应物296以与吸附层244反应,从而生长阻挡层246。在实施方式中,前驱物294和反应物296可对应于前驱物260和反应物265。由此,阻挡层244可以具有与表面层216相同的材料组分。使用ALD在稀土氧化物层242上方完全地生长或沉积阻挡层246。引入前驱物294且随后引入反应物296的工艺可进行一次或两次以形成薄的阻挡层246,所述阻挡层可以防止稀土氧化物层中的结晶生长。
如图所示,稀土氧化物242和阻挡层228的沉积可重复m次以形成交替层的堆叠248,其中m是大于1的整数值。N可以表示基于目标厚度和性质选择的有限数量的层。交替层的堆叠248可以被认为是含有多个交替子层的含稀土金属的氧化物层。
图2D中所示的最终结构是利用抗等离子体涂层涂覆的制品210的横截面侧视图,所述抗等离子体涂层包括表面高纯度金属氧化物层216(例如,无定形金属氧化物)和含稀土金属的氧化物242和第二氧化物或其他陶瓷228的交替层的堆叠248。
在一些实施方式中,第二氧化物或其他陶瓷可以是与用于形成表面层的氧化物(例如,Al2O3)相同的氧化物。或者,第二氧化物或陶瓷可以是与用于形成表面层的氧化物不同的氧化物。
含稀土金属的氧化物的每一层可以具有约5-10埃的厚度并且可通过进行ALD工艺的约5至约10次循环来形成,其中每个循环形成含稀土金属的氧化物的纳米层(或略微小于或大于纳米层)。在一个实施方式中,含稀土金属的氧化物的每一层使用约6至约8次ALD循环形成。第二氧化物或其他陶瓷的每一层可以通过单次ALD循环(或多次ALD循环)形成并且可以具有小于一个原子至几个原子的厚度。含稀土金属的氧化物的层可以各自具有约5-100埃的厚度,并且第二氧化物的层可以各自在实施方式中具有约1-20埃的厚度,并且在另外实施方式中具有1-4埃的厚度。含稀土金属的氧化物242和第二氧化物或其他陶瓷228的交替层的堆叠248可以具有约5nm至约3μm的总厚度。在含稀土金属的氧化物的层242之间的、第二氧化物或其他陶瓷246的薄层可以防止在含稀土金属的氧化物层中的结晶形成。此举可以实现无定形氧化钇层生长。
在参考图2A至图2D所描述的实施方式中,顺序完成表面反应(例如,半反应),并且在实施方式中,各种前驱物不与反应物接触。在引入新的前驱物或反应物之前,其中发生ALD工艺的腔室可利用惰性载体气体(诸如氮或空气)净化以移除任何未反应的前驱物和/或表面前驱物反应副产物。前驱物对于每层将会是不同的,并且用于含钇氧化物层或其他含稀土金属的氧化物层的第二前驱物可以是两种含稀土金属的氧化物前驱物的混合物以促进这些化合物共沉积,从而形成单相材料层。在一些实施方式中,使用至少两种前驱物,在其他实施方式中,使用至少三种前驱物,并且在又一些实施方式中,使用至少四种前驱物。
取决于工艺类型,ALD工艺可以在各种温度下进行。关于特定ALD工艺的最佳温度范围被称为“ALD温度窗”。低于ALD温度窗的温度可能导致不良生长速率和非ALD类型的沉积。高于ALD温度窗的温度可能导致经由化学气相沉积(CVD)机制而发生的反应。ALD温度窗可以在从约100℃至约400℃的范围中。在一些实施方式中,ALD温度窗在约120℃至约300℃之间。一些ALD工艺也可在约20℃至约400℃的温度下进行。
ALD工艺允许在具有复杂几何形状、具有高深宽比的孔(例如,孔隙)、和三维结构的制品和表面上具有均匀的厚度的保形抗等离子体涂层。每个前驱物充分暴露于表面的时间实现前驱物分散并且使得所述前驱物整体(包括全部其三维复杂特征)与表面完全反应。用于在高深宽比结构中实现保形ALD的暴露时间与深宽比的平方成比例并且可以使用模型技术进行预测。另外,由于ALD技术允许特定组分或配方的原位按需材料合成而不需要对来源材料(诸如粉末原料和烧结靶材)的长时间的且困难的制造,因此ALD技术比其他常用涂覆技术更有利。在一些实施方式中,ALD用于涂覆约3:1至300:1的深宽比的制品。
利用本文中所述的ALD技术,可以(例如)通过用于单独或与如上文所描述且在下文的示例中更详细描述的一种或多种其他氧化物结合地生长含稀土金属的氧化物的前驱物的适当的混合物来生长、沉积或共沉积多组分膜,诸如YxAlyOz(例如,Y3Al5O12)、YxZryOz、和YaZrxAlyOz、YxEryOz、YxEryFz、或YwErxOyFz
图3A示出了根据实施方式的用于在多孔制品诸如多孔处理腔室部件(例如,用于ESC的插塞)内的孔隙壁上形成抗等离子体涂层的方法300。方法300可以用于涂覆本文中所述的任何制品。所述方法可以可选地通过选择用于抗等离子体涂层的成分来开始。成分选择和形成方法可以通过相同实体或通过多个实体进行。
所述方法可以可选地在方框305处包括利用酸性溶液清洁制品。在一个实施方式中,将制品浸泡在酸性溶液的浴中。在实施方式中,酸性溶液可以是氢氟酸(HF)溶液、氢氯酸(HCl)溶液、硝酸(HNO3)溶液、或它们的组合。酸性溶液可以从制品移除表面污染物和/或可以从制品表面移除氧化物。利用酸性溶液清洁制品可以改良使用ALD沉积的涂层的品质。在一个实施方式中,含有约0.1vol%至约5.0vol%的HF的酸性溶液用于清洁由石英制成的腔室部件。在一个实施方式中,含有约0.1vol%至约20vol%的HF的酸性溶液用于清洁由Al2O3制成的制品。在一个实施方式中,含有约5至约15vol%的HNO3的酸性溶液用于清洁由铝和其他金属制成的制品。
在方框310处,将制品载入ALD沉积腔室中。在方框320处,所述方法包括使用ALD将抗等离子体涂层沉积到制品表面上。将抗等离子体涂层额外沉积到制品内的孔隙的孔隙壁上。在一个实施方式中,在方框325处,进行ALD以沉积金属氧化物层,诸如Al2O3层。在一个实施方式中,在方框330处,可选地进行ALD以单独或与一种或多种其他氧化物一起地沉积或共沉积含稀土金属的氧化物层。在实施方式中,ALD是所进行的非常保形的工艺,这可致使抗等离子体涂层的表面粗糙度匹配所涂覆的制品的下层表面的表面粗糙度。在一些实施方式中,抗等离子体涂层可以具有约5nm至约3μm的总厚度。抗等离子体涂层在实施方式中可以具有约0%的孔隙率,或在实施方式中可以无孔隙率,并且可以具有约+/-5%或更小、+/-10%或更小、或+/-20%或更小的厚度变化。
在一个实施方式中,在方框335处,进行ALD以沉积含稀土金属的氧化物和额外氧化物的交替层的堆叠。额外氧化物可以是本文中所述的氧化物的任一者。或者,可以形成单层。
在一些示例中,可以有利地降低多孔制品的孔隙率和/或渗透率。在一些实施方式中,抗等离子体涂层在孔隙的孔隙壁上的厚度可以具有对多孔材料的孔隙率和渗透率的影响。可以进行将涂层厚度映射到孔隙率降低和/或映射到渗透率降低的特性分析。特性分析可以随后用于将多孔制品的初始孔隙率和/或初始渗透率降低至目标孔隙率和/或渗透率。例如,第一涂层厚度可以将孔隙率从60%降低至50%并且第二涂层厚度可以将孔隙率从60%降低至40%。可以确定初始和目标孔隙率(或初始和目标渗透率)。可以随后确定将初始孔隙率(或初始渗透率)降低至目标孔隙率(或目标渗透率)的涂层厚度。可以随后将抗等离子体涂层沉积到目标厚度以致使孔隙率和/或渗透率达到目标孔隙率和/或目标渗透率。
含钇氧化物层包括含钇氧化物并且可以包括一种或多种额外的稀土金属氧化物。在实施方式中,由于含钇的氧化物一般具有高稳定性、高硬度、和优异抗侵蚀性,包括钇的含稀土金属的氧化物材料可以用于形成抗等离子体涂层。例如,Y2O3是最稳定的氧化物之一并且具有-1816.65kJ/mol的形式(ΔGf o)的标准吉布斯自由能,指示Y2O3与大部分工艺化学剂的反应在标准条件下是热动力学不利的。包括第一金属氧化物层和具有根据本文的实施方式沉积的Y2O3的含稀土金属的氧化物层的抗等离子体涂层也可具有对众多等离子体和化学剂环境的低侵蚀速率,诸如当于200瓦的偏压和500℃暴露于直接NF3等离子体化学剂时约0μm/hr的侵蚀速率。例如,在200瓦和500℃下的直接NF3等离子体的1小时测试致使无可测量的侵蚀。抗等离子体涂层可以由其形成的含钇氧化物化合物的示例包括Y2O3、YxAlyOz(例如,Y3Al5O12)、YxZryOz、YaZrxAlyOz、或YxEryOz。在抗等离子体涂层中的钇含量可以在从约0.1at.%至接近100at.%的范围中。针对含钇氧化物,钇含量可以在从约0.1at.%至接近100at.%的范围中并且氧含量可以在从约0.1at.%至接近100at.%的范围中。
可由其形成抗等离子体涂层的含铒氧化物化合物的示例包括Er2O3、ErxAlyOz(例如,Er3Al5O12)、ErxZryOz、EraZrxAlyOz、YxEryOz、和EraYxZryOz(例如,Y2O3、ZrO2和Er2O3的单相固溶体)。抗等离子体涂层中的铒含量可以在从约0.1at.%至接近100at.%的范围中。对于含铒氧化物,铒含量可以在从约0.1at.%至接近100at.%的范围中并且氧含量可以在从约0.1at.%至100at.%的范围中。
有利地,Y2O3和Er2O3是可混合的。对于Y2O3和Er2O3的任何组合可以形成单相固溶体。例如,可以结合并且共沉积稍稍高于0mol%的Er2O3和稍稍低于100mol%的Y2O3的混合物以形成作为单相固溶体的抗等离子体涂层。另外,可以结合稍稍高于0mol%的Er2O3和稍稍低于100mol%的Y2O3的混合物以形成作为单相固溶体的抗等离子体涂层。YxEryOz的抗等离子体涂层可以含有在高于0mol%至低于100mol%之间的Y2O3和在高于0mol%至低于100mol%之间的Er2O3。一些值得注意的示例包括90-99mol%的Y2O3和1-10mol%的Er2O3、80-89mol%的Y2O3和11-20mol%的Er2O3、70-79mol%的Y2O3和21-30mol%的Er2O3、60-69mol%的Y2O3和31-40mol%的Er2O3、50-59mol%的Y2O3和41-50mol%的Er2O3、40-49mol%的Y2O3和51-60mol%的Er2O3、30-39mol%的Y2O3和61-70mol%的Er2O3、20-29mol%的Y2O3和71-80mol%的Er2O3、10-19mol%的Y2O3和81-90mol%的Er2O3、和1-10mol%的Y2O3和90-99mol%的Er2O3。YxEryOz的单相固溶体可以在低于约2330℃的温度下具有单斜立方状态。
有利地,ZrO2可以与Y2O3和Er2O3结合以形成含有ZrO2、Y2O3和Er2O3(例如,
EraYxZryOz)的混合物的单相固溶体。YaErxZryOz的固溶体可以具有立方体、六边形、四边形和/或立方萤石型结构。YaErxZryOz的固溶体可以含有高于0mol%至60mol%的ZrO2、高于0mol%至99mol%的Er2O3、和高于0mol%至99mol%的Y2O3。可以使用的一些值得注意量的ZrO2包括2mol%、5mol%、10mol%、15mol%、20mol%、30mol%、50mol%和60mol%。可以使用的一些值得注意量的Er2O3和/或Y2O3包括10mol%、20mol%、30mol%、40mol%、50mol%、60mol%、70mol%、80mol%、和90mol%。
YaZrxAlyOz的抗等离子体涂层可以含有高于0%至60mol%的ZrO2、高于0%至99mol%的Y2O3、和高于0%至60mol%的Al2O3。可以使用的一些值得注意量的ZrO2包括2mol%、5mol%、10mol%、15mol%、20mol%、30mol%、50mol%和60mol%。可以使用的一些值得注意量的Y2O3包括10mol%、20mol%、30mol%、40mol%、50mol%、60mol%、70mol%、80mol%、和90mol%。可以使用的一些值得注意量的Al2O3包括2mol%、5mol%、10mol%、20mol%、30mol%、40mol%、50mol%和60mol%。在一个示例中,YaZrxAlyOz的抗等离子体涂层含有42mol%的Y2O3、40mol%的ZrO2和18mol%的Y2O3,并且具有层状结构。在另一示例中,YaZrxAlyOz的抗等离子体涂层含有63mol%的Y2O3、10mol%的ZrO2和27mol%的Er2O3,并且具有层状结构。
在实施方式中,包括表面层和Y2O3、YxAlyOz(例如,Y3Al5O12)、YxZryOz、YaZrxAlyOz、或YxEryOz的含稀土金属的氧化物层的抗等离子体涂层具有低放气速率、在约1000V/μm的量级上的电介质击穿电压、小于约1E-8Torr/s的密封性(泄漏速率)、约600至约950或约685的维氏硬度、如由划痕测试所测量的约75mN至约100mN或约85mN的粘合性和如于室温由x射线绕射所测量的约-1000至-2000Mpa(例如,约-1140Mpa)的膜应力。
在一些实施方式中,抗等离子体涂层可以由氧化铝前驱物形成,所述氧化铝前驱物选自用于ALD的乙氧基二乙基铝、三(乙基甲基酰胺基)铝、叔丁醇铝、三溴化铝、三氯化铝、三乙基铝、三异丁基铝、三甲基铝、或三(二乙基酰胺基)铝。
在一些实施方式中,抗等离子体涂层是或包括氧化钇,并且用于形成含稀土金属的氧化物层的氧化钇前驱物可以选自或包括用于ALD的三(N,N-双(三甲基硅烷基)酰胺)钇(III)或丁醇钇(III)。
在一些实施方式中,抗等离子体涂层包括氧化锆。当抗等离子体涂层包括氧化锆时,氧化锆前驱物可以包括用于ALD的溴化锆(Ⅳ)、氯化锆(Ⅳ)、叔丁醇锆(Ⅳ)、四(二乙基酰胺基)锆(Ⅳ)、四(二甲基酰胺基)锆(Ⅳ)、或四(乙基甲基酰胺基)锆(Ⅳ)。这些氧化锆前驱物的一种或多种可以与氧化钇前驱物共沉积。
在一些实施方式中,抗等离子体涂层可进一步包括氧化铒。氧化铒前驱物可以选自用于ALD的三甲基环戊二烯基铒(III)(Er(MeCp)3)、溴化酰胺铒(Er(BA)3)、Er(TMHD)3、三(2,2,6,6-四甲基-3,5-庚二酮基)铒(III)、或三(丁基环戊二烯基)铒(III)。
图3B示出了根据一实施方式的用于在多孔陶瓷制品(例如,用于ESC的多孔陶瓷插塞)上形成抗等离子体涂层的方法350。所述方法可以可选地通过选择用于抗等离子体涂层的组分来开始。组分选择和形成方法可以通过相同实体或通过多个实体进行。
在方法350的方框352处,使用酸性溶液清洁制品表面(例如,多孔ESC插塞的表面)。酸性溶液可以是上文参考方法300的方框305所描述的酸性溶液的任一种。可以随后将制品载入ALD沉积腔室中。
根据方框355,所述方法包括经由ALD将无定形HP-Al2O3的第一层沉积到制品表面上(包括在制品内的孔隙的孔隙壁上)。无定形HP-Al2O3可以具有约5nm至约300nm的厚度。根据方框360,所述方法进一步包括通过经由ALD将含钇氧化物前驱物和另一氧化物前驱物的混合物共沉积(即,在一个步骤中)至无定形HP-Al2O3表面层上来形成第二层。例如,第二层可以包括在具有Al2O3或Er2O3或ZrO2的单相中的Y2O3。或者,第二层可以包括多个相,诸如Y4Al2O9的相和包括Y2O3-ZrO2固溶体的另一相。
如上文所论述,含稀土金属的氧化物层可以包括多种不同氧化物的混合物。为了形成此含稀土金属的氧化物层,可以将先前提及的氧化钇前驱物、氧化铒前驱物、氧化铝前驱物和/或氧化锆前驱物的任何组合一起引入ALD沉积腔室中以共沉积各种氧化物并且形成具有单相或多相的层。在存在臭氧、水、氧自由基、或可以用作氧供体的其他前驱物时,可以进行ALD沉积或共沉积。
在方框370处,可以做出是否添加额外层(例如,如果将形成多层堆叠)的确定。如果将添加额外层,那么所述方法可以返回方框355并且可以形成Al2O3的额外层。否则,所述方法可以进行至方框375。
在方框375处,加热制品(例如,腔室部件)和所述腔室部件上的抗等离子体涂层的两层。加热可以在半导体处理期间经由退火工艺、热循环工艺和/或经由制造步骤。在一个实施方式中,对试样进行热循环工艺来作为制造之后用于检测裂缝的检查以用于质量控制,其中将所述试样循环至在处理期间部件可以经历的最高温度。热循环温度取决于部件将用于的具体应用或多个应用。针对陶瓷ESC插塞,例如(图4A至图4C所示),试样可以在室温与250℃之间循环。温度可以基于制品、表面、和膜层的构造的材料来选择以维持其完整性并且避免变形、分解、或熔融任何或全部此类部件。
图4A至图4C描绘了根据一实施方式的用于ESC的经涂覆的多孔陶瓷插塞405。图4A示出了用于ESC的多孔陶瓷插塞405。多孔陶瓷插塞405可以由陶瓷材料(诸如AlO/SiO、AlO/MgO/SiO、SiC、SiN、AlN/SiO和类似者)制成。陶瓷插塞405仅是示例的多孔陶瓷腔室部件,所述腔室部件的性能可以通过使用如本文的实施方式中所阐述的抗等离子体涂层来改良。应理解,当利用本文所公开的抗等离子体涂层涂覆时,也可改良其他多孔陶瓷腔室部件的性能。选择如本文所描绘的插塞405作为半导体工艺腔室部件的说明,所述腔室部件具有表面,所述表面具有复杂几何形状和具有高深宽比的孔(即,孔隙)。陶瓷插塞405可以暴露于腐蚀性化学剂(诸如氟)并且当不利用抗等离子体涂层涂覆时归因于与插塞的等离子体相互作用而侵蚀。
陶瓷插塞405具有多个孔隙,其中的一个孔隙408在图4B中示出。陶瓷插塞405可以具有约5%至约60%的孔隙率。孔隙408(和/或通过由孔隙形成的陶瓷插塞405的通道)可以具有限定为长度与直径的比率(L:D)的高深宽比,其中所述高深宽比可以在从约3:1至约300:1、或约50:1至约100:1的范围中。孔隙408的表面415具有抗等离子体涂层420,所述抗等离子体涂层可以对应于本文上文所述的抗等离子体涂层的任一者。抗等离子体涂层420可以在孔隙408的表面415上包括HP-Al2O3材料等,所述孔隙在实施方式中可以是无定形的。在一些实施方式中,HP-Al2O3层的纯度可以是从约89.99%至约99.99%。单层涂层420对通过孔隙408的流动路径412几乎不具有或不具有影响,使得甚至利用单层涂层420,孔隙408在其正常操作期间可渗透He气体。抗等离子体涂层420使用ALD技术在陶瓷插塞405的外表面上和在陶瓷插塞405内的孔隙408的孔隙壁415上生长或沉积。
不管孔隙的复杂几何形状和大深宽比,ALD技术实现在孔隙408的孔隙壁415上的相对均匀厚度和零孔隙率(即,无孔隙率)的保形涂层。抗等离子体涂层420可以减少等离子体相互作用并且改良插塞的可持续性而不影响其性能。利用ALD沉积的抗等离子体涂层420维持孔隙408的相对形状和几何配置以及陶瓷插塞405的外表面的相对形状和几何配置以不干扰其功能性。相似地,当应用至其他多孔陶瓷腔室部件时,抗等离子体涂层可以维持部件表面和孔隙壁的形状和几何配置以不干扰部件的功能性。涂层也可提供抗等离子体性并且改良对多孔制品的内部的抗侵蚀性和/或抗腐蚀性。
在整个涂覆的部件的操作和暴露于等离子体期间,抗等离子体涂层420对等离子体的抗性通过“蚀刻速率”(ER)测量,所述蚀刻速率可以具有微米/小时(μm/hr)的单位。测量可以在不同的处理时间之后进行。例如,测量可以在处理之前、或于约50个处理小时、或于约150个处理小时、或于约200个处理小时、等等进行。在ESC插塞上或在任何其他处理腔室部件上生长或沉积的抗等离子体涂层的组分中的变化可能导致多个不同的抗等离子体性或侵蚀速率值。另外,暴露于各种等离子体的具有单一组分的抗等离子体涂层420可以具有多个不同的抗等离子体性或侵蚀速率值。例如,抗等离子体材料可以具有与第一类型的等离子体相关的第一抗等离子体性或侵蚀速率和与第二类型的等离子体相关的第二抗等离子体性或侵蚀速率。
在一些实施方式中,抗等离子体涂层420可以包括第一层和可选地在所述第一层(未示出)的顶部上的第二含稀土金属的氧化物层。第一层可以包括HP-Al2O3并且当存在含稀土金属的氧化物层时,所述第一层可以包括无定形HP-Al2O3层。含稀土金属的氧化物层可以包括单独或与额外稀土金属氧化物(例如,氧化铒、氧化锆、等等)一起的氧化钇。含稀土金属的氧化物层可以具有任何含稀土金属的氧化物材料,诸如本文上文所述的那些。每层可以使用ALD工艺涂覆。不管其高深宽比并且不管在陶瓷插塞405内部的孔隙壁,ALD工艺可以生长在每个孔隙408的整个孔隙壁中无孔隙率的均匀厚度的保形涂层,同时确保最终的多组分涂层也可以是足够薄的以不堵塞陶瓷插塞中的孔隙。
图4C示出了根据本文中所述的实施方式的包括已经利用抗等离子体涂层涂覆的多个多孔陶瓷插塞405、435的基板支撑组件422。基板支撑组件422包括安装板465、绝缘板460、设施板458、和由导热基部455和通过粘结剂450(诸如硅氧烷粘结剂)粘结至导热基部455的静电定位盘430构成的静电夹盘。o形环445可以于导热基部455和静电定位盘430的周边围绕粘结剂450放置以保护粘结剂450。绝缘板460可以是(例如)聚苯乙烯交联树脂(rexolite)或另一塑料,并且可以提供与下方的接地硬件(例如,与安装板465)的电气隔离。基板支撑组件422可以包括穿透静电定位盘430、粘结剂450、导热基部455、设施板458、绝缘板460和/或安装板465的一个或多个孔。可以将一个或多个多孔陶瓷插塞435、405插入孔中以防止腐蚀性气体和等离子体进入通孔。抗等离子体涂层涂覆陶瓷插塞405、435内的孔隙的孔隙壁,所述陶瓷插塞被插入一个或多个孔中。安装板465包括用于将设施(例如,流体、电力线路、传感器引线、等等)布线至导热基部460和静电定位盘455的通道。
静电定位盘455可进一步包括多个气体通道,诸如凹槽、台面和可以在静电定位盘430的上表面中形成的其他表面特征。气体通道可流体耦接至传热(或背侧)气体(诸如经由先前提及的孔的He)的来源。在操作中,可以于受控压力将背侧气体提供至气体通道中以增强在静电定位盘430与所支撑的基板之间的传热。如上文所陈述,孔可以是由可渗透He的多孔陶瓷插塞405、435堵塞的He通孔。多孔陶瓷插塞也可至少部分地可渗透腐蚀性气体和用于清洁半导体处理腔室430的等离子体。多孔陶瓷插塞可以过滤腐蚀性气体的气体颗粒并且防止此类腐蚀性气体穿透至基板支撑组件中。多孔陶瓷插塞405、435可以额外防止在基板支撑组件422中的孔内形成次级离子体。
静电定位盘430包括至少一个夹紧电极440。夹紧电极440(或在静电定位盘430中设置的其他电极)可以通过匹配电路进一步耦接至一个或多个RF电源以用于维持由处理腔室内的工艺气体和/或其他气体形成的等离子体。在多孔陶瓷插塞405、435上的抗等离子体涂层在处理期间提供了对等离子体的抗腐蚀性。
阐述以下示例以帮助理解本文中所述的实施方式并且不应解释为具体地限制本文描述并主张的实施方式。在本领域技术人员的知识范围内的此类变化,包括全部目前已知的或日后发展的等效物的替代,和调配物改变或实验设计中的不重要改变被认为落入本文所结合的实施方式的范围内。这些示例可以通过进行上文所述的方法300或方法350来达成。
示例1-在多孔陶瓷基板上形成HP-Al2O3表面层
图5A是示出根据本文中所述的实施方式涂覆的多孔插塞的形态的自上向下图像。图5B是如使用透射电子显微镜(TEM)成像的根据本文中所述的实施方式涂覆的多孔插塞的横截面图。无定形氧化铝(Al2O3)涂层的抗等离子体涂层520沉积在由氧化铝和二氧化硅构成的多孔插塞515上。Al2O3的抗等离子体涂层520使用原子层沉积沉积在多孔插塞515上,并且具有约40nm的厚度。在一毫托或几毫托至一托或几托的范围内的压力和约100-250℃的温度下,将用于抗等离子体涂层的前驱物引入到基板。如图所示,涂层520不会阻塞多孔插塞515中的孔隙。
图6示出了用于新多孔插塞505、用于首次使用的多孔插塞510和用于第二次使用的多孔插塞515的能量分散x射线微量分析的结果。结果表明,如与新的多孔插塞相比硅(Si)的显著损失和高氟(F)含量。如本文所述,此硅损失和氟增加通过在多孔插塞内的孔隙的孔隙壁上施加抗等离子体涂层来减轻。
以上描述阐述多个具体细节,诸如特定系统、部件、方法等等的示例,以便提供对本发明的若干实施方式的良好理解。然而,本领域的技术人员将会清楚,在没有这些特定细节的情况下,也可实践本发明的至少一些实施方式。在其他示例中,熟知的部件或方法没有被详细地描述或以简单的方框图的形式示出以避免不必要地模糊本发明。因此,所阐述的特定细节仅是示例性的。具体实现方式可不同于这些示例性细节,并且仍构想为在本发明的范围内。
在整个本说明书中提到“一个实施方式”或“一种实施方式”表示与所述实施方式结合描述的具体特征、结构、或特性包括在至少一个实施方式中。因此,在整个本说明书中的各种地方出现的短语“在一个实施方式中”或“在一种实施方式中”并非必须全部是指相同实施方式。此外,术语“或”意欲表示包括性的“或”而非排他性的“或”。当本文使用术语“约”或“近似”时,意欲表示提及的标称值精确在±10%内。
虽然以特定的顺序示出并描述了本文的方法的操作,但是每个方法的操作顺序都可以改变,使得某些操作可以相反顺序进行,或者使得某些操作可至少部分地与其他操作同时进行。在另一实施方式中,不同操作的指令或子操作可以是以间歇和/或交替的方式的。
应当理解,以上描述意欲是说明性的而非限制性的。在阅读并且理解以上描述时,许多其他实施方式将对本领域的技术人员显而易见。本发明的范围应当由参考随附权利要求以及此类权利要求授权保护的等效物的全部范围而确定。

Claims (20)

1.一种制品,包括:
多孔主体,包括所述多孔主体内的多个孔隙,所述多个孔隙各自包括孔隙壁,其中所述孔隙主体对气体是可渗透的;和
抗等离子体涂层,处于所述多孔主体的表面上并且处于所述多孔主体内的所述多个孔隙的所述孔隙壁上,所述抗等离子体涂层具有约5nm至约3μm的厚度,其中所述抗等离子体涂层保护所述孔隙壁不受侵蚀,并且其中具有所述抗等离子体涂层的所述多孔主体保持对所述气体是可渗透的。
2.如权利要求1所述的制品,其中所述抗等离子体涂层基本上由氧化铝组成。
3.如权利要求1所述的制品,其中所述制品是用于静电夹盘的陶瓷插塞。
4.如权利要求1所述的制品,其中所述抗等离子体涂层包括氧化钇或氧化铒中的至少一者。
5.如权利要求1所述的制品,其中所述抗等离子体涂层包含:
高纯度金属氧化物层;和
含稀土金属的氧化物层,所述含稀土金属的氧化物层包括选自由以下项所组成的群组中的材料:Y2O3、Y3Al5O12(YAG)、ZrO2、Gd2O3、Y2O3-ZrO2固溶体、和包含Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。
6.如权利要求1所述的制品,其中所述多孔主体具有约5%至约60%的孔隙率。
7.如权利要求1所述的制品,其中所述抗等离子体涂层包括:
第一类型的层和第二类型的层的交替层的堆叠,
其中:
所述第一类型的层是具有约1埃至约20埃的厚度的高纯度金属氧化物层;和
所述第二类型的层是具有约5埃至约100埃的厚度的含稀土金属的氧化物。
8.如权利要求1所述的制品,其中所述多孔主体基本上由两相材料组成,所述两相材料包含第一氧化物的烧结颗粒和用作所述第一氧化物的所述烧结颗粒的粘结剂的第二氧化物,其中所述第一氧化物选自由氧化铝和氮化铝所组成的群组并且所述第二氧化物是二氧化硅。
9.如权利要求1所述的制品,其中所述多孔主体选自由以下项所组成的群组:a)氧化铝和二氧化硅的混合物;b)氧化铝、氧化镁和二氧化硅的混合物;c)碳化硅;d)氮化硅;和e)氮化铝和二氧化硅的混合物。
10.一种方法,包括:
进行原子层沉积以在包括多个孔隙的多孔腔室部件上沉积抗等离子体涂层,所述多个孔隙各自包括孔隙壁,其中所述多孔主体对气体是可渗透的,并且其中进行所述原子层沉积包括:
将所述抗等离子体涂层沉积到所述多孔腔室部件的表面上;和
将所述抗等离子体涂层沉积到所述多孔腔室部件内的所述多个孔隙的所述孔隙壁上;
所述抗等离子体涂层具有约5nm至约3μm的厚度,其中所述抗等离子体涂层保护所述孔隙壁不受侵蚀,并且其中在进行所述原子层沉积之后,具有所述抗等离子体涂层的所述多孔腔室部件保持对所述气体是可渗透的。
11.如权利要求10所述的方法,其中所述抗等离子体涂层由具有约89.99%至约99.99%的纯度的氧化铝组成。
12.如权利要求10所述的方法,其中所述抗等离子体涂层基本上由氧化铝组成,并且其中沉积所述抗等离子体涂层包括:
进行沉积循环,包括:
将含铝前驱物注入容纳有所述腔室部件的沉积腔室中以致使所述含铝前驱物吸附至所述孔隙壁上,从而形成第一半反应;和
将含氧反应物注入所述沉积腔室中,从而形成第二半反应;和
重复所述沉积循环一次或多次直至实现目标厚度。
13.如权利要求10所述的方法,其中所述抗等离子体涂层包括金属氧化物和含钇氧化物的交替层的堆叠,并且其中沉积所述抗等离子体涂层包括通过以下步骤交替沉积所述含钇氧化物和所述金属氧化物以形成单相或多相含钇氧化物:
进行沉积循环,包括:
将含钇前驱物注入容纳有所述腔室部件的沉积腔室中以致使所述含钇前驱物吸附至所述孔隙壁上,从而形成第一半反应;
将含氧反应物注入所述沉积腔室中,从而形成第二半反应和第一层;
将额外前驱物注入所述沉积腔室中以致使所述额外前驱物吸附至所述第一层的表面上,从而形成第三半反应;和
将所述含氧反应物或替代的含氧反应物注入所述沉积腔室中,从而形成第四半反应和第二层;和
重复所述沉积循环一次或多次直至实现目标厚度。
14.如权利要求10所述的方法,其中沉积所述抗等离子体涂层包括通过以下步骤将含钇氧化物和一种或多种额外氧化物共沉积以形成单相或多相含钇氧化物:
进行沉积循环,包括:
将用于所述含钇氧化物的第一前驱物和用于所述额外氧化物的第二前驱物的混合物共注入容纳有所述腔室部件的沉积腔室中以致使所述第一前驱物和所述第二前驱物吸附至所述孔隙壁上,从而形成第一半反应;和
将含氧反应物注入所述沉积腔室中,从而形成第二半反应;和
重复所述沉积循环一次或多次直至实现目标厚度。
15.如权利要求14所述的方法,其中所述一种或多种额外氧化物选自由Al2O3和ZrO2所组成的群组。
16.如权利要求14所述的方法,其中所述含钇氧化物选自由以下项所组成的群组:Y3Al5O12(YAG)、Y2O3-ZrO2固溶体、和包括Y4Al2O9和Y2O3-ZrO2固溶体的陶瓷化合物。
17.如权利要求10所述的方法,其中所述多孔腔室部件基本上由两相材料组成,所述两相材料包括第一氧化物的烧结颗粒和用作所述第一氧化物的所述烧结颗粒的粘结剂的第二氧化物,其中所述第一氧化物选自由氧化铝和氮化铝所组成的群组并且所述第二氧化物是二氧化硅。
18.如权利要求10所述的方法,其中所述多孔腔室部件是用于静电夹盘的插塞。
19.如权利要求10所述的方法,进一步包括:
确定所述多孔腔室部件的初始孔隙率或初始渗透率中的至少一者;
确定用于所述多孔腔室部件的目标孔隙率或目标渗透率中的至少一者;
确定将所述初始孔隙率降低至所述目标孔隙率或将所述初始渗透率降低至所述目标渗透率中的至少一者的所述抗等离子体涂层的目标厚度,其中所述抗等离子体涂层具有所述目标厚度。
20.一种方法,包括:
将多个多孔陶瓷插塞一起载入沉积腔室中,所述多个多孔陶瓷插塞中的多孔陶瓷插塞包括多个孔隙,所述多个孔隙各自包括孔隙壁,其中所述多孔陶瓷插塞对气体是可渗透的;和
进行原子层沉积以同时在所述多个多孔陶瓷插塞上沉积氧化铝涂层,其中对所述多个多孔陶瓷插塞中的所述多孔陶瓷插塞进行所述原子层沉积包括:
将所述氧化铝涂层沉积到所述多孔陶瓷插塞的表面上;和
将所述氧化铝涂层沉积到所述多孔陶瓷插塞内的所述多个孔隙的所述孔隙壁上;
所述氧化铝涂层具有约5nm至约3μm的厚度,其中所述氧化铝涂层保护所述孔隙壁不受侵蚀,并且其中在进行所述原子层沉积之后,具有所述抗等离子体涂层的所述多孔陶瓷插塞保持对所述气体是可渗透的。
CN201810074077.1A 2017-03-17 2018-01-25 多孔主体的通过原子层沉积的抗等离子体涂层 Pending CN108623328A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810218192.1A CN108623330A (zh) 2017-03-17 2018-01-25 多孔主体的通过原子层沉积的抗等离子体涂层

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/462,718 2017-03-17
US15/462,718 US10975469B2 (en) 2017-03-17 2017-03-17 Plasma resistant coating of porous body by atomic layer deposition
US15/849,277 2017-12-20
US15/849,277 US10745805B2 (en) 2017-03-17 2017-12-20 Plasma resistant coating of porous body by atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810218192.1A Division CN108623330A (zh) 2017-03-17 2018-01-25 多孔主体的通过原子层沉积的抗等离子体涂层

Publications (1)

Publication Number Publication Date
CN108623328A true CN108623328A (zh) 2018-10-09

Family

ID=63521058

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810074077.1A Pending CN108623328A (zh) 2017-03-17 2018-01-25 多孔主体的通过原子层沉积的抗等离子体涂层
CN201810218192.1A Pending CN108623330A (zh) 2017-03-17 2018-01-25 多孔主体的通过原子层沉积的抗等离子体涂层

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201810218192.1A Pending CN108623330A (zh) 2017-03-17 2018-01-25 多孔主体的通过原子层沉积的抗等离子体涂层

Country Status (6)

Country Link
US (2) US10975469B2 (zh)
JP (2) JP7093192B2 (zh)
KR (2) KR102592883B1 (zh)
CN (2) CN108623328A (zh)
SG (1) SG10201800532UA (zh)
TW (2) TWI748046B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备
CN112553592A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN112553598A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
EP3605555A4 (en) * 2017-03-22 2020-12-16 Japan Atomic Energy Agency FUNCTIONAL MEMBRANE FOR ION BEAM TRANSFER, BEAM GUIDING DEVICE WITH USE OF THE FUNCTIONAL MEMBRANE FOR ION BEAM TRANSFER, FILTER DEVICE WITH USE OF THE FUNCTIONAL MEMBRANE FOR ION BEAM TRANSFER AND ADAPTATION PROCEDURES
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11639547B2 (en) * 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
WO2020090613A1 (ja) * 2018-10-30 2020-05-07 京セラ株式会社 多孔質セラミックス、半導体製造装置用部材、シャワープレートおよびプラグ
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN113966544A (zh) * 2019-06-12 2022-01-21 朗姆研究公司 用于等离子体处理室部件的密封剂涂层
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
JP2021017602A (ja) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 微細構造体の製造方法及び微細構造体の製造装置
CN112239858A (zh) * 2019-07-17 2021-01-19 皮考逊公司 制造耐腐蚀涂覆物品的方法,耐腐蚀涂覆物品及其用途
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11258078B2 (en) 2019-08-09 2022-02-22 Hamilton Sundstrand Corporation Conductor assembly
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI707058B (zh) * 2019-12-19 2020-10-11 汎銓科技股份有限公司 一種物性分析試片的製備方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20220156059A (ko) * 2020-03-20 2022-11-24 램 리써치 코포레이션 다층 보호 표면을 갖는 플라즈마 프로세싱 챔버
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11658014B2 (en) * 2020-04-11 2023-05-23 Applied Materials, Inc. Apparatuses and methods of protecting nickel and nickel containing components with thin films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP7397974B2 (ja) 2020-04-27 2023-12-13 京セラ株式会社 通気性部材、半導体製造装置用部材、プラグおよび吸着部材
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
CN113594013B (zh) * 2020-04-30 2024-01-26 中微半导体设备(上海)股份有限公司 零部件、其形成涂层的方法及装置和等离子体反应装置
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
WO2021241645A1 (ja) * 2020-05-28 2021-12-02 京セラ株式会社 通気性プラグ、基板支持アセンブリおよびシャワープレート
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919463A1 (en) * 2020-06-02 2021-12-08 Heraeus GMSI LLC Plasma resistant ceramic body formed from multiple pieces
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068273B (zh) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 一种零部件及其制备方法和等离子体反应装置
US20230317424A1 (en) * 2020-08-21 2023-10-05 Lam Research Corporation Erosion resistant plasma processing chamber components
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR20220033661A (ko) * 2020-09-09 2022-03-17 (주)포인트엔지니어링 내식층이 구비된 부품
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114256047B (zh) * 2020-09-25 2023-12-22 中微半导体设备(上海)股份有限公司 半导体零部件、涂层形成方法和等离子体反应装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
CN114496690A (zh) * 2020-10-27 2022-05-13 中微半导体设备(上海)股份有限公司 耐等离子体半导体零部件和形成方法、等离子体反应装置
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102587791B1 (ko) * 2021-12-30 2023-10-12 한국세라믹기술원 미세채널을 갖거나 다공성재질을 갖는 피증착물에 대한 원자층증착 시뮬레이션 방법
WO2023230170A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Hybrid atomic layer deposition
CN115637418A (zh) * 2022-10-12 2023-01-24 中微半导体设备(上海)股份有限公司 形成涂层的方法、涂覆装置、零部件及等离子体反应装置

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020095782A1 (en) * 2001-01-22 2002-07-25 Applied Materials, Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US20080048225A1 (en) * 2006-08-25 2008-02-28 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080108225A1 (en) * 2006-10-23 2008-05-08 Sun Jennifer Y Low temperature aerosol deposition of a plasma resistive layer
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20150147562A1 (en) * 2011-03-02 2015-05-28 Applied Thin Films Inc. Protective Internal Coatings for Porous Substrates
US20150158775A1 (en) * 2013-12-06 2015-06-11 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150376780A1 (en) * 2014-06-27 2015-12-31 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
CN105247662A (zh) * 2013-06-20 2016-01-13 应用材料公司 抗等离子体腐蚀的稀土氧化物基薄膜涂层
US20160326061A1 (en) * 2013-11-12 2016-11-10 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20160326623A1 (en) * 2015-05-08 2016-11-10 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4724169A (en) 1984-10-09 1988-02-09 Ovonic Synthetic Materials Company, Inc. Method of producing multilayer coatings on a substrate
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5322813A (en) 1992-08-31 1994-06-21 International Business Machines Corporation Method of making supersaturated rare earth doped semiconductor layers by chemical vapor deposition
US6432256B1 (en) 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6606234B1 (en) * 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
JP2002306957A (ja) 2001-04-11 2002-10-22 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20040136681A1 (en) 2003-01-10 2004-07-15 Novellus Systems, Inc. Erbium-doped oxide glass
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
JP4534565B2 (ja) * 2004-04-16 2010-09-01 株式会社デンソー セラミック多孔質の製造方法
US20080032115A1 (en) 2004-10-18 2008-02-07 Nihon Ceratec Co., Ltd. Corrosion-Resistant Member and Method for Manufacture Thereof
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7494723B2 (en) 2005-07-29 2009-02-24 Tocalo Co., Ltd. Y2O3 spray-coated member and production method thereof
US20080265565A1 (en) * 2007-04-26 2008-10-30 Comdel Innovations Inc. Suction coupling system and assembly
TWI654159B (zh) * 2007-04-27 2019-03-21 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
WO2009149372A1 (en) 2008-06-05 2009-12-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
DE102010015470A1 (de) * 2010-04-16 2011-10-20 Forschungszentrum Jülich GmbH Verfahren zur Innenbeschichtung von Funktionsschichten mit einem Vergütungsmaterial
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US10138169B2 (en) * 2011-04-04 2018-11-27 The Regents Of The University Of Colorado, A Body Corporate Highly porous ceramic material and method of using and forming same
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
JP6034156B2 (ja) 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI432096B (zh) 2011-12-27 2014-03-21 Ind Tech Res Inst 燈管控制系統、燈管節能系統及其節能方法
IN2014DN05918A (zh) 2012-02-16 2015-06-05 Borealis Ag
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
JP5956379B2 (ja) * 2012-04-27 2016-07-27 日本碍子株式会社 半導体製造装置用部材
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
GB2509335A (en) * 2012-12-31 2014-07-02 Univ Tartu Double-structured corrosion resistant coatings and methods of application
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
CN106029949B (zh) * 2014-01-17 2020-02-21 Iones株式会社 用于形成具有复合涂层粒度的涂层的方法和由此形成的涂层
SG10201806706VA (en) 2014-02-07 2018-09-27 Entegris Inc Electrostatic chuck and method of making same
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
WO2016131024A1 (en) * 2015-02-13 2016-08-18 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160358749A1 (en) 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US11326253B2 (en) * 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10975469B2 (en) * 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020095782A1 (en) * 2001-01-22 2002-07-25 Applied Materials, Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
US20080048225A1 (en) * 2006-08-25 2008-02-28 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080108225A1 (en) * 2006-10-23 2008-05-08 Sun Jennifer Y Low temperature aerosol deposition of a plasma resistive layer
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20150147562A1 (en) * 2011-03-02 2015-05-28 Applied Thin Films Inc. Protective Internal Coatings for Porous Substrates
CN105247662A (zh) * 2013-06-20 2016-01-13 应用材料公司 抗等离子体腐蚀的稀土氧化物基薄膜涂层
US20160326061A1 (en) * 2013-11-12 2016-11-10 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20150158775A1 (en) * 2013-12-06 2015-06-11 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20150376780A1 (en) * 2014-06-27 2015-12-31 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
US20160326623A1 (en) * 2015-05-08 2016-11-10 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
US20160375515A1 (en) * 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
(英)理查德•布洛克利等: "《材料技术》", 30 June 2016, 北京理工大学出版社 *
胡传炘: "《表面处理技术手册》", 31 July 2009, 北京工业大学出版社 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备
CN112553592A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 一种利用ald工艺对静电吸盘进行处理的方法
CN112553598A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法
CN112553597A (zh) * 2019-09-25 2021-03-26 中微半导体设备(上海)股份有限公司 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法
CN112553598B (zh) * 2019-09-25 2023-03-28 中微半导体设备(上海)股份有限公司 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法

Also Published As

Publication number Publication date
TW201840890A (zh) 2018-11-16
US10975469B2 (en) 2021-04-13
TWI791489B (zh) 2023-02-11
TWI748046B (zh) 2021-12-01
SG10201800532UA (en) 2018-10-30
JP2018162205A (ja) 2018-10-18
KR102593334B1 (ko) 2023-10-23
KR102592883B1 (ko) 2023-10-20
US10745805B2 (en) 2020-08-18
TW201842223A (zh) 2018-12-01
KR20180106870A (ko) 2018-10-01
CN108623330A (zh) 2018-10-09
JP2018168472A (ja) 2018-11-01
JP7093192B2 (ja) 2022-06-29
US20180265972A1 (en) 2018-09-20
US20180265973A1 (en) 2018-09-20
JP7296698B2 (ja) 2023-06-23
KR20180106858A (ko) 2018-10-01

Similar Documents

Publication Publication Date Title
CN108623328A (zh) 多孔主体的通过原子层沉积的抗等离子体涂层
KR102296911B1 (ko) 원자 층 증착에 의한 다층 플라즈마 저항성 코팅
KR102195757B1 (ko) 에르븀계 플라즈마 내성 세라믹 코팅의 비-가시선 증착
TWI811232B (zh) 用於高溫加熱器的原子層沉積塗層
KR20200000245U (ko) 원자 층 증착에 의해 증착되는 내부식성 금속 산화물 코팅들
CN113652669B (zh) 通过原子层沉积获得的多层抗等离子体涂层
US12002657B2 (en) Multi-layer plasma resistant coating by atomic layer deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination