TW201338040A - 低溫含矽膜 - Google Patents

低溫含矽膜 Download PDF

Info

Publication number
TW201338040A
TW201338040A TW102108316A TW102108316A TW201338040A TW 201338040 A TW201338040 A TW 201338040A TW 102108316 A TW102108316 A TW 102108316A TW 102108316 A TW102108316 A TW 102108316A TW 201338040 A TW201338040 A TW 201338040A
Authority
TW
Taiwan
Prior art keywords
decane
group
film
deposition
vapor deposition
Prior art date
Application number
TW102108316A
Other languages
English (en)
Other versions
TWI502645B (zh
Inventor
Anupama Mallikarjunan
Bing Han
Raymond Nicholas Vrtis
Andrew David Johnson
Xinjian Lei
Mark Leonard O'neill
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201338040A publication Critical patent/TW201338040A/zh
Application granted granted Critical
Publication of TWI502645B publication Critical patent/TWI502645B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • H01L21/443Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本文描述的是經低溫加工的高品質含矽膜。也描述於低溫下形成含矽膜的方法。

Description

低溫含矽膜
本文揭示的是製備用於各種不同電子應用的含矽膜,例如但不限於二氧化矽(SiO2)膜,的方法及組合物。
SiO2的薄膜由於其介電性質常用作製造半導體時的介電質。在製造以矽為基礎的半導體裝置時,SiO2膜可作為閘極絕緣層、擴散遮罩、側壁間隔物、硬罩、抗反射塗層、鈍化層及封裝層及種種其他用途。SiO2膜對於其他化合物半導體裝置的鈍化也變得越來越重要。
除了氧化矽及氧以外的其他元素也可能存在於二氧化矽膜中。這些其他元素有時候可能是故意加入此組成混合物及/或沉積製程,端視所該膜或所欲最終性質所得的應用。舉例來說,元素氮(N)可加於該SiO2膜以形成可提供一定介電效能例如較低洩漏電流的氧氮化矽膜。元素鍺(Ge)可加於該SiO2膜以提供可降低該膜的沉積溫度的摻鍺SiO2。還有其他元素例如硼(B)或碳(C)可加於該SiO2膜以提高耐蝕刻性。在其他案例中,該等元素可在沉積製程過程中以雜質形式加入。舉例來說,若使用有機前驅物,可加入碳(C)及/或氫(H)。
對數個應用,使用電漿強化化學氣相沉積或PECVD製程以於比熱CVD更低的溫度下製造SiO2。四乙氧基矽烷或TEOS(分子式Si(OC2H5)4)是PECVD SiO2常見前驅物;而且與高流量氧來源例如O2或O3聯合使用以使所沉積的膜中的殘留碳污染減至最少。TEOS係以安定、惰性、高蒸氣壓液體形式供應,而且比SiH4危害更小。
由於各個不同的原因例如成本(舉例來說,使用較便宜基材的能力)及熱預算(thermal budget)(舉例來說,由於統合溫度敏感性高效膜的關係),於是一般會驅使人移往較低沉積溫度(<4000 C)。此外,關於PECVD TEOS膜,填縫性能(gapfill)及保形性於較低溫度下比較好;但是膜性質較差:該等膜沒有計量化學組成,富含氫而且因此具有低膜密度及快速蝕刻速率。這些膜在熱及/或電應力(例如退火之後的洩漏電流和擊穿電壓或在偏差溫度應力之下的平帶電壓偏移)之下的效能同樣也不好。因而,需要具有比TEOS更好的效能的替代前驅物。
用於平板顯示器的薄膜電晶體(TFT)將因較低加工溫度,所以能使用可替換的基材(比現行玻璃更輕且更便宜)而獲益。為此,電漿強化化學氣相沉積(PECVD)已經脫穎而出成為沉積用於TFT的介電膜的較佳方法。AOS(非晶形氧化物半導體)快速脫穎而出成為能給予較高效能的TFT用之a-Si替代物,而且可於較低溫度下加工。氧化銦鎵鋅(IGZO)及變化體是主要候選物。替代物是ITZO、AlInOx、ZTO、ZnON、MgZnO等等。IGZO材料具有<350℃的溫度極限。新穎的閘 極金屬也可具有溫度限制。再者,吾人所欲為將該加工溫度進一步降至<200℃以供塑膠基材之用,由標準前驅物例如甲矽烷或TEOS沉積的PECVD膜無法平衡所有必備條件例如密度、電氣品質及保形性[B.F.Hanyaloglu及E.S.Aydil,J.Vac.Sci.Technol.A 16(5),2794(1998)and G.N.Parsons,J.H.Souk,and J.Batey,J.Appl.Phys.70(3),1553(1991)]。因而,需要呈替代前驅物化學性質形式的材料創新,該等前驅物化學性質能與沉積及程序工程方法聯合作業並且於低溫下製造高品質TFT膜。
在矽氧化物的案例中,TEOS(原矽酸四乙酯)是PECVD的較佳(液態)前驅物而且通常與氧反應。然而,TEOS SiO2膜同樣由於濕氣(H2O)與甲矽烷醇(Si-OH)於低溫下併入而有電氣性質差的問題[J.K.Lee、J.B.choi、S.M.Seo、C.W.Han及H.S.Soh,SID Tech Dig.29(1998)];也不得不研究替代方案。高O2/TEOS比例膜的PECVD沉積機構能分成四步驟[P.J.Stout and M.J.Kushner,J.Vac.Sci.Technol.A 11(5),2562(1993)]:首先,電漿中形成氧自由基。接下來,使TEOS(Si(OR)4,其中R=C2H5)氧化形成Si(OR)n(OH)4-n。這些Si(OR)n(OH)4-n及O物種投射於基材上。經由除去-OH基使SiO2網路結構擴展。
Si-OR+Si-OH → Si-O-Si+R-OH
Si-OH+Si-OH → Si-O-Si+H2O
殘留於該膜中的-OH表示不完全縮合,而且可能是由於吸附物種的低密度或其無法移到表面上,尤其是於 低溫下。
文獻中記載較厚的PECVD SiO2膜在鍵結網狀結構中具有較低程度的扭曲、較少缺陷及較低BHF蝕刻速率。較低BHF蝕刻速率表示減少的微孔隙[L.-N.He and S.Hasegawa,Jpn.J.Appl.Phys.40,4672(2001)]。所有以上性質因此代表提高的膜密度。預期原因是在膜生長期間的熱能加熱及離子轟擊的效應,那可能造成該Si-O-Si鍵結網路結構的排列。因此,較薄的膜具有比厚膜差的性質。
無論如何對於許多絕緣體應用而言,想要較薄的膜。舉例來說,希望用較薄的閘極氧化物提高電容量(而且因此降低開關電晶體所需的閾電壓)[B.G.Streetman,Solid State Electronic Devices,4th Ed.,p.318(1995)]。然而,實務上的考量例如膜洩漏電流及沉積無針孔介電質的能力必需使用較厚的膜。因而,必需解決沉積高品質薄膜的問題。與較低溫度需求妥協時這個問題尤其重要。
薄膜的品質可藉由數性質例如密度、應力、折射率、透明度、濕蝕刻速率、耐乾蝕刻性和選擇性、膜組成和計量化學偏差、雜質含量、陷滯濕氣、陷獲氫(依不同束縛化學藥品形式及移動形式)量測。一些感興趣的電氣性質是擊穿電壓、洩漏電流、擊穿電荷(charge to breakdown)、界面狀態、界面電荷、表面電荷、固定電荷、體陷阱(bulk trap)、移動電荷、界面陷阱(interface trap)、介電常數;及當該薄膜受到電氣及/或熱應力時這些參數的穩定性。該結構、光學及電氣性質通常相互關連而且對於TFT應用的閘極絕緣體或鈍化 絕緣體特別感興趣。舉例來說,預期較高密度膜具有較少雜質或缺陷,及較佳阻絕或電氣絕緣體效能。
一般認知極薄膜(數埃厚)可能具有與較厚膜不同的性質。這樣的效應可基於初始沉積所需的穩定化時間(例如以電漿為基礎的沉積)、成核效應(其可能取決於基材)、基於基材的應力效應。當該膜長得更厚時,熱退火及緻密化的效應將使該膜的性質改善得更像塊狀。
以下提及數種使用前驅物沉積多種不同含矽膜的技術。
Casserly,T.B.及K.K.Gleason於"Chemical vapor deposition of organosilicon thin films from methylmethoxysilanes." Plasma Processes and Polymers 2(9):679-687(2005)中教導有機矽酸鹽玻璃(OSG)薄膜的電漿強化化學氣相沉積,其使用三甲基甲氧基矽烷、二甲基二甲氧基矽烷及甲基三甲氧基矽烷。沉積由純OSG前驅物,以及由氧或氫加於供氣的混合物進行。這些膜係經由傅利葉轉移紅外線光譜術(FTIR)、變化角光譜橢圓光度法(VASE)及電氣測量來分析。從甲基甲氧基矽烷類與氧沉積OSG薄膜時涉及的反應沒有選擇性而且導致不欲的甲基官能度損失。利用加氫達成的還原化學具有選擇性,而且氫原子優先與創造甲矽烷醇的甲氧基或甲矽氧基反應。這透過縮合反應選擇性地形成鍵網狀連結而不會犧牲該前驅物的"嵌入"烷基含量。來自甲基甲氧基矽烷類及氫的低-k OSG薄膜係經由低功率、10.0至10.5 J/g的W/FM(取決於OSG前驅物)、造成介於2.84至3.18的 材料介電常數的PECVD製程沉積。
Chakravarthy,G.S.、R.A.Levy、J.M.Grow及W.M.Attia,於"Low temperature synthesis and characterization of silicon dioxides." Phys.Chem.SiO 2 Si-SiO 2 Interface 2,[Proc.Electron.Dielectr.Sci.Div.Electrochem.Soc.Symp.],2nd FIELD Full Journal Title:165-74(1993)中教導經由使用二乙基矽烷作為前驅物的SiO2膜的低壓化學氣相沉積。於350至475度合成這些膜同時觀察生長速率以遵守阿瑞尼士(Arrhenius)行為的10 kcal/mol視活化能(apparent activation energy)。見到生長速率隨著越來越高的壓力提高並且按照O流速和O/I比的均方根之函數變化。在二者中壓力和O/I比研究均於400度進行,沉積時有數個突然中斷點。據悉該等膜的密度及折射率分別為2.25 g/cm3及1.46,與沉積條件無關。該等膜於25度的P-蝕刻溶液中的蝕刻速率隨著反映材料緻密化的越來越高的沉積或退火溫度降低。儘管深寬比苛刻,但是該等膜仍顯現良好的步階覆蓋率。
由Chhabra,N.、R.D.Morgan及E.Powell,A.申請的US5040046A於"Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby"中教導在經挑選的基材上形成二氧化矽SiO2或氮化矽Si3N4層的方法,其包括使二乙基矽烷C4H12Si與經挑選的含氧化合物或含氮化合物於一電漿強化化學氣相沉積(PECVD)艙中反應。由此形成的敷層的保形性係在百分之85至百分之98的範圍中。供相關氣體流動加工系 統用的二乙基矽烷液體來源可保持於像室溫那麼低的來源溫度並且作業。
Coclite,A.M.、A.Milella、F.Palumbo、F.Fracassi及R.D'Agostino於"A chemical study of plasma-deposited organosilicon thin films as low-k dielectrics." Plasma Processes and Polymers 6(8):512-520(2009)中教導藉由PECVD從不同有機矽前驅物沉積具有低介電常數的薄膜之方法。膜結構及性質深受前驅物的挑選影響。以甲矽烷為基礎的前驅物造成具有像2.3那麼低的電容率及於400℃下熱退火之後6%有限厚度損失的膜。由矽氧烷單體所沉積的膜之特徵為11%的提高厚度收縮率。熱安定性不僅與交聯程度有關而且也與聚合物骨幹中存在亞甲基架橋與否有關,其解釋了以甲矽烷為基礎的膜的良好熱安定性。沉積時的基材加熱(150℃)確保非常低電容率與良好熱安定性之間的最佳平衡。
Coon、P.A.、M.L.Wise、A.C.Dillon、M.B.Robinson及S.M.George於"diethylsilane on silicon surfaces:Adsorption and decomposition kinetics." J.Vac.Sci.Technol.B 10:221-227(1992)中利用雷射引發熱脫附(LITD)、溫度程序控制的脫附及傅利葉轉換紅外線(FTIR)光譜術研究矽表面上的二乙基矽烷(DES),(CH3CH2)2SiH2的吸附和分解動力學。LITD測量求得從200K的S0 1.7×10-3至440 K的S0 4×10-5之DES於Si(111)7×7上的初始反應性黏附係數降低對比於表面溫度的關係。與溫度有關的黏附係數暗示前驅 物中介的吸附機構。高表面積多孔性矽表面上的FTIR研究指示DES於300 K下離解吸附並且產生SiH及SiC2H5表面物種。退火研究也透露當SiC2H5表面物種分解時在多孔性矽上的氫覆蓋率提高。接在DES吸附於Si(111)7×7上之後,CH2=CH2及H2係分別於700及810 K下觀察到的脫附產物。乙基分解時的乙烯脫附及氫覆蓋率成長與該等SiC2H5表面物種的β-氫化物除去機構,亦即SiC2H5→SiH+CH2=CH2,不相矛盾。
等溫性LITD研究把SiC2H5於Si(111)7×7上的分解動力學當接在DES暴露後的時間之函數監測。一級分解動力學是Ed=36 kcal/mol及νd=2.7×109 s-1。這些分解動力學暗示該矽表面將催化該β-氫化物除去反應。
Hanyaloglu,B.F.及E.S.Aydil於"Low temperature plasma deposition of silicon nitride from silane and nitrogen plasmas." Journal of Vacuum Science and Technology A:Vacuum,Surfaces and Films 16(5):2794-2803(1998)中教導由SiH4及N2氣體進行氮化矽膜的電漿強化化學氣相沉積在低於450 K下在螺旋共振器電漿反應器中就地使用光譜橢圓光度法並且就地使用衰減全反射傅利葉轉換紅外線光譜術研究。氮化矽生長透過於該膜表面的薄富含矽區域的氮化進行,而且從此機構的觀點來看就能了解該膜組成和結構的外部控制參數,例如電漿功率及壓力,的效應。影響該膜組成和結合的關鍵因素是達到該表面的活性氮(N*2)通量,其決定Si-Si鍵的氮化速率。使用低電漿功率及 高壓,產生低N*2通量的條件,沉積的氮化矽膜含有依Si-H及a-Si內含量形式的較高濃度氫。提高功率或降低壓力造成較高的N*2通量及氮化速率。在這樣的條件之下沉積的膜具有較少SiH及a-Si併入,但是較多會中斷該氮化物網狀結構的互相連繫性的NHx(x=1,2)卻造成孔隙。膜性質可經由操控功率及壓力而調整並且使此二極端最佳化。
He,L.及S.Hasegawa於"Study of plasma-deposited amphorous SiO2 films using infrared absorption techniques." Thin Solid Films 384(2):195-199(2001)中教導於300℃下藉由電漿強化化學氣相沉積法(PE-CVD)使用SiH4-O2混合物製備非晶形SiO2(a-SiO2)膜。把Si-O鍵的紅外線(IR)吸收性當膜厚度(d)的函數做研究。結果發現分別由Si-O彎曲和拉伸模式引起的800及1050 cm-1能帶的表觀吸收率(apparent absorbance),αapp,與d按照αapp=k×d成正比。估算800及1050 cm-1能帶的比例常數k分別為3.2×103及2.9×104 cm-1。因此,PE-CVD a-SiO2的膜厚度能使用IR吸收技術以非破壞方式測定。然而,該1050 cm-1能帶的積分吸收強度隨著提高的d增加。相反地,800 cm-1能帶的積分吸收強度與d無關。所以討論Si-O拉伸及彎曲模式的紅外線吸收性質。
He,L.N.及S.Hasegawa於"Thickness dependence of properties of plasma-deposited amorphous SiO2 films." Japanese Journal of Applied Physics,Part 1:Regular Papers and Short Notes and Review Papers 40(7): 4672-4676(2001)中教導於300℃下藉由電漿強化化學氣相沉積法使用SiH4-O2混合物製備非晶形SiO2(a-SiO2)膜。該[O2]/[SiH4]比例係保持於1.5,其中可獲得具有計量化學組成的氧化物膜。把該Si-O-Si拉伸模式、應力、矽懸鍵(dangling bond)密度及HF緩衝液(BHF)蝕刻速率當膜厚度的函數做研究。結果發現中心於大約1050 cm-1的Si-O-Si拉伸模式峰頻率隨著從0.1增加至1.1μm的厚度從1050提高至1075 cm-1。藉著與根據膜/基材系統的多重反射效應的計算結果相比,發現該Si-O-Si拉伸模式偏移至高頻不僅起因於多重反射效應,而且也與膜生長時的熱能加熱及/或離子轟擊物理效應有關,其可能造成該Si-O-Si鍵結網狀結構的重排。關於此應力行為、矽懸鍵密度及BHF蝕刻速率的實驗結果確認了這個觀察結果。
由Hochberg,A.,K.及D.L.O'Meara申請的US4981724A於"Deposition of silicon oxide films using allkylsilane liquid sources"中教導一種用於沉積二氧化矽的化學氣相沉積法,其包含下列步驟:將欲進行沉積的基材在壓力為約0.1至約1.5托耳的真空中從約攝氏325度加熱至約攝氏700度的溫度及將選自由烷基矽烷、芳基矽烷及芳烷基矽烷,其中烷基-、芳基-或芳烷基-部分包含2至6個碳原子及氧或二氧化碳,所組成的群組之矽烷引進該真空中。
Hochberg,A.K.及D.L.O'Meara於"The LPCVD of silicon oxide films below 400 DegC from liquid sources." J.Electrochem.Soc.FIELD Full Journal Title:Journal of the Electrochemical Society 136(6):1843-4(1989)中發現經過測試多種不同矽化合物之後,二乙基矽烷(DES)是用於SiO2膜的低溫LPCVD(低壓化學氣相沉積)的安全又容易運送的適合來源。其在低於400度(亦即比同等沉積速率的四乙氧基矽烷更低300度)下產生適當的保形膜。經純化的DES是非自燃性且非毒性而且其氧化物膜勝過甲矽烷低溫氧化物在鋁上面的步階覆蓋率。摻磷的氧化矽膜係經由將三甲基亞磷酸鹽加於二乙基矽烷沉積得到。
Huo,D.T.C.、M.F.Yan及P.D.Foo於"Silica films by low pressure chemical vapor deposition using ethylsilane:processing and characterization." J.Vac.Sci.Technol.,A FIELD Full Journal Title:Journal of Vacuum Science & Technology,A:Vacuum,Surfaces,and Films 9(5):2602-2606(1991)中藉由低壓化學氣相沉積技術於低溫(400度)下使用二乙基矽烷在矽晶圓上製造SiO2膜。所沉積的膜具有良好的保形性(85%)、低殘留碳濃度(<1原子%)及低殘留應力(<109 dyne/cm2);其與其他製程所製備的膜相比較有利。該等生長速率與該等加工參數相互關聯顯示該沉積製程遵守異質雙分子反應動力學。IR光譜學係用以偵測在特定加工條件之下製備的SiO2膜中的HSi-O3彎曲帶(880 cm-1)的存在。根據該反應動力學模式,將該等加工條件最佳化以降低SiO2膜中的SiH加入及移動電荷載子濃度。
Levy,R.A.、L.Chen、J.M.Grow及Y.Yu於"A comparative study of plasma enhanced chemically vapor deposited Si-O-H and Si-N-C-H films using the environmentally benign precursor diethylsilane." Materials Letters 54(2-3):102-107(2002)中使用二乙基矽烷(DES)與N2O或NH3一起作為前驅物以藉由電漿強化化學氣相沉積法(PECVD)合成S-O-H或Si-N-C-H膜。據觀察該等生長速率將隨著較高溫度降低同時隨著總壓力提高。具有最佳性質的氧化物膜係於300℃的沉積溫度、0.3托耳的總壓、15 sccm的DES流速及16的N2O/DES流速比下合成。折射率、應力、硬度及楊氏模數的相對值係按照加工變數的函數表示而且與膜密度及所得的膜組成有關。
Levy,R.A.、J.M.Grow及G.S.Chakravarthy於"Low-pressure chemical vapor deposition of silicon dioxide using diethylsilane." Chem.Mater.FIELD Full Journal Title:Chemistry of Materials 5(12):1710-14(1993)中藉由低壓CVD法使用二乙基矽烷(DES)作為前驅物以製造SiO2膜。於350至475度合成這些膜同時觀察生長速率以遵守阿瑞尼士(Arrhenius)行為的10 kcal/mol視活化能。生長速率隨著越來越高的壓力提高並且按照O2流速和O2/DES比的均方根之函數變化。在二者中壓力和O2/DES比研究均於400度進行,在總壓<0.35托耳的情況及在O2/DES比>2.35的情況下沉積會突然中斷。該等膜的密度及折射率分別為大約2.25 g/cm3及1.45,與沉積條件無關。該等膜於25度的P-蝕刻溶液中的蝕刻速率隨著越來越高的沉積或退火溫度降低,其反映出材料的緻密化。對於大約1.3的深寬比,該等膜顯現優於55%的步 階覆蓋率。
Levy,R.A.、J.M.Grow、Y.Yu及K.T.Shih於"plasma enhanced chemical vapor deposition of Si-N-C-H films from environmentally benign organosilanes." Mater.Lett.FIELD Full Journal Title:Materials Letters 24(1,2,3):47-52(1995)中使用前驅物二乙基矽烷(DES)及二第三丁基矽烷與NH3以藉由電漿強化化學氣相沉積法合成氫化碳氮化矽膜。把生長動力學及膜性質當沉積溫度、壓力及NH3/有機矽烷比的函數檢查。該生長速率隨著越來越高的溫度及NH3/有機矽烷比降低而且隨著越來越高的總壓提高。折射率、應力、硬度及楊氏模數係按照加工變數的函數測量而且與膜密度及所得的膜組成有關。據記錄該等膜的氧化係於低沉積溫度、低NH3/有機矽烷比及高溫下發生。碳存在於所有沉積物中並且隨著越來越高的NH3/有機矽烷流量比稍微減少。
Liang,J.於US20090104790中教導一種用於形成半導體結構而不會影響裝置品質的方法,其包括使矽前驅物與原子氧或氮前驅物於大約150度或更低的加工溫度下反應以在基材上形成氧化矽或含Si-N層。該氧化矽或含Si-N層係於含氧環境中以UV固化。
Martin,J.G.、H.E.O'Neal、M.A.Ring、D.A.Roberts及A.K.Hochberg於"Mechanisms of silicon dioxide deposition from the low pressure chemical vapor deposition of diethylsilane/oxygen mixtures." J.Electrochem.Soc.FIELD Full Journal Title:Journal of the Electrochemical Society 142(11):3873-80(1995)中完成氣相產物、二氧化矽膜性質及該二乙基矽烷/氧反應在低壓化學氣相沉積(LPCVD)情況之下的動力學的研究,記錄下在有裝填及未裝填的攪拌流反應器中的情況。流速、反應物組成、壓力及多種不同添加物(例如,乙烯、氦、甲苯、氯甲烷及多種不同過氧化物)對於反應產物、產物生產率及反應速率的效應確認此反應的自由基特性並且提供在該膜沉積製程中有時候會遇到的切斷和開始問題的機構解釋。自由基來源分子的添加促進此反應。因此該LPCVD製程中能使用實質上低於正常情況(亦即,400 +- 20度)的處理溫度而不會影響膜品質或膜沉積速率。此處理溫度降低是一主要研究目標。由膜品質和均勻度判定的最佳結果是經由使該DES/O2/促進劑反應混合物的LPCVD反應器滯留時間匹配該促進劑的分解壽命達成。有效處理溫度添加t-BuOOH時是315度,添加n-BuNO3時是270度,而且添加(t-BuO)2時是250度。
Parsons,G.N.、J.H.Souk及J.Batey於"Low hydrogen content stoichiometric silicon nitride films deposited by plasma-enhanced chemical vapor deposition." Journal of Applied Physics 70(3):1553-1560(1991)中教導藉由電漿強化化學氣相沉積(PECVD)於250℃下沉積的氮化矽膜具有類似於藉由低壓化學氣相沉積(LPCVD)於700℃下製備的膜的性質。膜係使用甲矽烷及以氦稀釋的氮來源氣體製備。該膜的性質,包括N/Si比、氫含量及電氣品質,對於沉積期間該甲矽烷流速的變化最為敏感。對於在最佳化條件之下於250℃ 的基材溫度下所沉積的膜,於金屬-絕緣體-半導體結構中的電流對比於電壓測量的關係顯示載子發射於3至4 MV/cm開始,比LPCVD膜稍低。當應力遷移至2 MV/cm時,電容量對比於電壓測量的關係顯示一些磁滯行為及正性固定電荷的證據,類似於LPCVD膜。關於該等最佳化膜:N/Si=1.33±.02;折射率(λ=6328 Å)=1.980±0.01;介電常數(1 MHz)~7.5;密度=2.7±0.1;及在10% HF緩衝液中的蝕刻速率介於32至70 Å/min。此外,氫均等分佈於Si-H及N-H基團,而且總氫含量<10原子%。這些膜具有比其他於此溫度下沉積的PECVD氮化矽膜低相當多的氫含量。當此基材溫度係提高至350℃時,該等膜具有相同Si/N比,及類似電氣性質;氫含量降至<6×1021 cm-3,而且蝕刻速率於10% HF緩衝液為17 Å/s。
Patterson,J.D.及M.C.Ozturk於"Low pressure chemical vapor deposition of silicon dioxide below 500 [degree]C by the pyrolysis of diethylsilanein oxygen." Journal of Vacuum Science & Technology B:Microelectronics and Nanometer Structures 10(2):625-632(1992)中教導於水平式LPCVD爐中使用液態二乙基矽烷及氧的SiO2低壓化學氣相沉積(LPCVD)已經有人研究過。觀察結果是425至500℃的溫度沉積範圍造成275 Å/min的最大沉積速率。該沉積速率的壓力依數性透露關於沉積溫度為450℃的氣相反應之950毫托耳閾值。經由拉塞福背向散射光譜學(Rutherford backscattering spectroscopy)分析該等膜指出原沉積膜(as-deposited film)係沉積溫度450℃的計量化學SiO2。關於 籠形船(caged boat)橫越晶圓的均勻度之最佳案例是±5%。結果發現濕式化學及反應性離子蝕刻速率與退火之後的熱氧化物的蝕刻速率相當。沉積於2 μm深1 μm寬的矽溝槽上的SiO2膜之截面掃描式電子顯微影像透露80%的保形性。研究於450℃下沉積的膜的電氣性質。該等膜的電氣性質係按照原沉積及等該等膜於冷壁快速熱退火(RTA)系統中退火之後研究。RTA係於介於950至1100℃的溫度下在Ar、N2或O2環境中進行。電流-電壓、進行電流-溫度及電容量-電壓測量是為了電氣的特徵化。毀壞場(catastrophic breakdown field)測量顯示原沉積500 Å膜的電場強度為9.5 MV/cm。洩漏電流傳導機構的研究指出原沉積膜顯現於高電場及溫度下的阱傳導機構。然而,若沉積之後接著在Ar或O2中進行RTA,則洩漏電流緊跟在富爾諾罕(Fowler-Nordheim)機構之後並且產生與熱氧化物相當的洩漏電流電場依數性。結果顯示若氧化物沉積之後接著在Ar或O2中進行RTA能獲得像6×1010/cm2那麼低的值之固定電荷密度。
Ross,A.D.及K.K.Gleason於"Enhancement of mechanical properties of organosilicon thinfilms deposited from diethylsilane." Journal of Vacuum Science and Technology A:Vacuum,Surfaces and Films 23(3):465-469(2005)中使用脈衝電漿強化化學氣相沉積法是為了由二乙基矽烷及氧沉積薄膜上有機矽。傅利葉轉換紅外線(FTIR)分析顯示該原沉積材料中有相當大的有機含量以及羥基和甲矽烷醇部分。FTIR顯示羥基於400℃退火1小時之後完全去除。此移除指出鄰近 羥基之間的縮合反應導致額外的Si-O-Si鍵聯形成,其可能提高該膜的硬度及模數。機械性質測量係依據這個假設,退火之後硬度及模數均提高超過50%。膜結構及性質極度依賴前驅物供料比。
無論如何對於許多絕緣體應用而言,期望較薄的膜。舉例來說,吾人所欲為較薄的閘極氧化物以提高電容量(並且因此降低開關電晶體所需的閾電壓)。較薄的膜也可能較便宜而且由於使用較少化學藥品而對環境更友善。該裝置的尺寸也能藉助於微型化而縮小。有些案例中,較薄的膜將具有較高的生產量並且加速生產製程。然而,實務上的考量例如膜洩漏電流及沉積無針孔介電質的能力必需使用較厚的膜。因而,必需解決沉積高品質薄膜的問題。如以上的參考資料得知於低溫下獲得高品質膜具有挑戰性。若反應物的黏附係數太高,所沉積的膜具有低品質而且由於沒有使斷片於該基材表面上重排以製造最高品質膜的機會而出現缺點。若沉積速率太高時尤其為真。這就是TEOS膜常見的情形,沉積機構已經在先前的段落中描述過。於較低溫度的理想情況是使用能降低沉積速率的較低反應性前驅物來沉積藉以提高表面遷移率。然而,僅減少前驅物的量沒有解決品質的問題,該前驅物的確必須具有較低反應性。因此,為了瞭解是否能製造真的較佳品質的膜就必須利用前驅物間的相同矽供料量的比較結果。由於生產量及成本的實務理由,所以也不想要極低的沉積速率。
在本發明中,研發出含矽膜的低溫沉積方法。
在一方面中,提供一種將含矽膜沉積於基材的至少一表面上之方法,其包含:將該基材的至少一表面提供於一反應艙中;將具有通式R1R2R3SiH的烷基矽烷前驅物引進該反應艙中;其中R1係選自由C2-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;R2-3能獨立地選自由氫、C1-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;當R2-3不是氫時R1能與R2或R3形成一環狀環;將氧來源引進該反應艙中;於該反應艙中提供25℃至400℃的反應溫度;及將該低溫薄含矽層沉積於該基材的至少一表面上;其中該沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。
在另一方面中,提供一種具有2 nm至200nm的厚度及大於2.2 g/cm3的密度之低溫沉積含矽薄膜;其中該含矽薄膜係藉由選自由化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、 電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD)所組成的群組的製程沉積,及該低溫係於25℃至400℃的範圍中。
在又另一方面中,提供一種將低溫薄含矽膜沉積於薄膜顯示/電晶體裝置的至少一表面上之方法,其包含:將該薄膜電晶體的至少一表面提供於一反應艙中;將具有通式R1R2R3SiH的烷基矽烷前驅物引進該反應艙中;其中R1係選自由C2-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;R2-3能獨立地選自由氫、C1-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;將氧來源引進該反應艙中;於該反應艙中提供25℃至400℃的反應溫度;及將該低溫薄含矽層沉積於該該薄膜電晶體的至少一表面上;其中該製程係選自由化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD)所組成的群組。
該等低溫含矽膜具有2 nm至200nm的厚度;及大於2.2g/cc的密度;最重要地,當該膜的厚度減小時該膜的密度將會提高。
圖1A顯示關於經由BL-2加工條件於下列三個溫度下沉積的二乙基矽烷(2ES)膜之膜厚度對於實測密度的效應:400℃、300℃、200℃。
圖1B顯示關於經由BL-3加工條件於下列三個溫度下沉積的二乙基矽烷(2ES)膜之膜厚度對於實測密度的效應:400℃、300℃、200℃。
圖2A顯示關於經由BL-2加工條件於下列三個溫度下沉積的三乙基矽烷(3ES)膜之膜厚度對於實測密度的效應:400℃、300℃、200℃。
圖2B顯示關於經由BL-3加工條件於下列三個溫度下沉積的三乙基矽烷(3ES)膜之膜厚度對於實測密度的效應:400℃、300℃、200℃。
圖3顯示經由BL-2條件於400℃下沉積的二乙基矽烷(2ES)氧化物的薄和厚膜之FTIR光譜。
圖4A顯示以BL1條件於3個不同溫度-400℃、300℃及200℃-下沉積的膜的介電常數(“K”)值之比較結果。圖4B顯示以BL1條件於三個溫度-400℃、300℃及200℃-下沉積的膜的WER之比較結果。
圖5顯示關於300℃沉積的TEOS膜對比於於BL3加工條件下以2ES沉積的膜之洩漏電流對比於電場的關係。
圖6經由追蹤平帶電壓(Vfb)顯示於2ES及TEOS SiO2膜 中的界面及體電荷(bulk charge)。
文中描述低溫處理的高品質薄含矽膜。文中也描述於低溫下形成高品質薄含矽膜的方法。本發明使最終使用者能獲得較高品質的裝置;例如較快速以IGZO為基礎的TFT及/或由於降低處理溫度而能更便宜生產,這使替代性基材等等變成可能。
文中描述包含矽及氧化物的高品質薄含矽膜例如,舉例來說,保形並且具有良好電氣性質的SiO2膜,的形成方法。
用以形成該等介電膜或覆層的方法是沉積製程。用於本文揭示的方法的適合沉積製程實例包括,但不限於,循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣相沉積、電漿強化化學氣相沉積(“PECVD”)、高密度PECVD、光子輔助CVD、電漿-光子輔助(“PPECVD”)、低溫化學氣相沉積、化學輔助氣相沉積、熱絲極化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積及低能CVD(LECVD)。在特定具體實施例中,該等含金屬膜係經由電漿強化AID(PEALD)或電漿強化循環式CVD(PECCVD)製程來沉積。用於本文時,該措辭“化學氣相沉積製程”表示使基材暴露於一或更多揮發性前驅物,該等揮發性前驅物於該基材表面上反應及/或分解以產生所欲沉積的任何製程。用於本文時,該措辭“原子層沉積製程”表示自限性(例如,在各反應循 環中沉積的膜材料量恆定)的連續表面化學,其將保形膜或材料沉積於變化組成的基材上。
在特定的具體實施例中,文中所揭示的方法避免藉由使用ALD或CCVD方法使前驅物預先反應,該等方法在引進該反應器之前及/或期間隔離該等前驅物。關此,沉積技術例如ALD或CCVD方法係用以沉積該介電膜。有一具體實施例中,該膜係經由ALD方法藉著使該基材表面輪流暴露於該一或更多含矽前驅物、氧來源或其他前驅物或試藥而沉積。膜生長藉由表面反應的自限性控制、各前驅物或試藥的脈衝時間長度及沉積溫度進行。然而,一旦該基材的表面飽和,該膜生長即停止。
儘管文中所用的前驅物、試藥及來源有時候可能被稱作“氣態”,但是咸瞭解該等前驅物可為經由直接汽化、發泡或昇華利用或沒用惰性氣體運送至該反應器中的液體或固體。在一些案例中,汽化的前驅物能通過一電漿產生器。
本發明也關於如以下流程1所示將含矽膜作為顯示裝置半成品的閘極介電質進行沉積:
該閘極絕緣體(GI)1及2能運用各種不同沉積 技術沉積,其包括,但不限於,化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)、電漿強化原子層沉積法(PEALD)。
GI 1係選自由氮化矽、碳氮化矽所組成的群組,而GI 2能選自由矽、碳化矽、氧化矽、碳氧化矽、碳氮化矽所組成的群組。該透明金屬氧化物包括,但不限於,a-IGZO(非晶形氧化銦鎵鋅)、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuAlO、SrCuo、LaCuOS、GaN、InGaN、AlGaN或InGaAlN。
在特定具體實施例中,使用上述方法沉積的供GI 1或2用的薄含矽膜係於氧存在之下使用氧來源、包含氧的試藥或前驅物形成。
氧來源可以至少一氧來源的形式引進該反應器及/或可附帶存在於該沉積製程所用的其他前驅物中。
本文揭示的薄含矽膜係藉由使用含矽前驅物沉積,例如但不限於具有通式R1R2R3SiH的烷基矽烷;其中R1係選自由C2-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;R2-3能獨立地選自由氫、C1-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;當R2-3不是氫時R1能與R2或R3形成一環狀環。
烷基矽烷的實例是二乙基矽烷(2ES)、二第三丁基矽烷、二異丙基矽烷、二第二丁基矽烷、二異丁基矽烷、 二第二戊基矽烷、三乙基矽烷(3ES)、三第三丁基矽烷、三異丙基矽烷、三第二丁基矽烷、三異丁基矽烷、三第二戊基矽烷、第三丁基二乙基矽烷、第三丁基二丙基矽烷、二乙基異丙基矽烷、環戊基矽烷、苯基矽烷。供沉積用的前驅物材料的挑選取決於所欲產生的介電材料或膜。舉例來說,前驅物材料可針對其化學元素含量、其化學元素的計量化學比、其沉積速率控制及/或在CVD之下形成的所得介電膜或覆層做選擇。該前驅物材料也可針對多個不同特性例如成本、無毒性、處理特徵、於室溫保持液相的能力、揮發性、分子量等等做選擇。在特定具體實施例中,該含矽前驅物可能具有一或更多包含氧原子的取代基。在這些具體實施例中,在沉積製程期間對於氧來源的需求可能降至最低。在其他具體實施例中,該含矽前驅物具有一或更多包含氧原子的取代基而且也使用氧來源。
該基材可暴露於預沉積處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光及/或其他處理以促成該膜的一或更多性質。舉例來說,對該IGZO膜進行N2O或O2或O3電電漿處理或O3化學處理以確保該IGZO完全氧化可能有益處。這使半導性質在膜沉積之前得以保存或使其增強。
適合的氧來源氣體包括但不限於,舉例來說,水(H2O)(例如,去離子水、純水及/或蒸餾水)、氧(O2)、氧電漿、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。本文揭示的沉積方法可能涉及一或更多用於洗淨, 控制該電漿或作為載體氣體的惰性氣體。
例示性惰性氣體包括,但不限於,Ar、N2、He、Xe、氖、H2及其混合物。
該反應器或沉積艙的溫度可介於周遭溫度(25℃)至約400℃,較佳地100℃至370℃,更佳地150℃至325℃。
該反應器或沉積艙的壓力可介於約0.1托耳至約100托耳。供應該等前驅物、該氧來源及/或其他前驅物、來源氣體及/或試藥的分別步驟可經由改變其供應時間進行以變更所得的介電膜的計量化學組成。
對該等前驅物中的至少其一、氧來源或其組合施以能量以引發反應並且將該介電膜或覆層形成於該基材上。此能量能藉由,但不限於,電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿及遙距電漿方法提供。在特定具體實施例中,二次射頻來源能用以改變該基材表面的電漿特性。在該沉積製程涉及電漿的具體實施例中,該電漿產生製程可包含該反應器中直接產生電漿的直接電漿產生製程,或也可以是在該反應器外側產生電漿並且供入該反應器中的遙距電漿產生製程。
該等含矽前驅物及/或其他前驅物可依多變的方式運送至該反應艙。在一個具體實施例中,可利用液體運送系統。在一替代具體實施例中,可運用聯合液體運送及閃蒸製程單元,例如,舉例來說,由明尼蘇達州,Shoreview的MSP股份有限公司所製造的渦輪汽化器以便能定量運送低揮發性材料,導致可再現的輸送及沉積而沒有該前驅物的熱分 解。在液體運送配方中,本文所述的前驅物可依純液態的形式運送,或者,可用於溶劑配方或其組合物中。因此,在特定具體實施例中該等前驅物配方可包括在指定最終用途方面可能有需要或有益處的適當特性的溶劑組分以將膜形成於基材上。
該SiO2的沉積速率可在每分鐘0.1 nm至5000 nm的範圍中。該速率可經由變化任一下列非限定參數來控制:沉積溫度、汽化器溫度、該LFC的流量、反應性O2氣體的流速及/或該CVD反應器的壓力。前驅物的選擇也能決定該沉積速率。
所得的介電膜或覆層可暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫光光曝光、電子束曝光及/或其他處理以影響該膜的一或更多性質。
本文所述的方法可用以將介電膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、加氫碳化矽、氮化矽、加氫氮化矽、碳氮化矽、加氫碳氮化矽、硼氮化物、抗反射塗層、光阻劑、有機聚合物、多孔性有機和無機材料、金屬類例如銅、鋁、鉻、鉬及擴散阻絕物層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與個個不同後續處理步驟例如,舉例來說,化學機械平坦化(CMP)及各向異性蝕刻製程相容。本文所述的介電膜具有4.0至5.5的介電常數。較佳地,該介電常數是4.0至4.5。
在特定具體實施例中,可能有益的是沉積組成 從頂部至底部漸變的層,例如,有一層主要包含SiCO或SiO2而且另一層主要包含SiNC or Si3N4的膜。該膜係由包含含矽前驅物及含氧前驅物,例如,2ES及O2、臭氧或N2O,的最初試藥混合物沉積並且接著以含氮氣體,例如,N2、氨或肼,替代該含氧氣體流。若該含矽前驅物已經含有氮,則第二步驟可僅使用惰性氣體或氫進行。含氧換成含氮或惰性氣體可為漸變或急遽變化而造成一漸變層或一雙層構造。這樣的雙層或漸變層將有助於一些應用例如關於該含矽膜的金屬及IGZO界面的不同需求。
所沉積的介電膜具有下列應用,其包括但不限於電腦晶片、光學裝置、磁性資訊儲存、於支撐材料或基材上的覆層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶顯示器(LCD)。
下列實施例舉例說明用於製備文中所述的介電膜的方法而且不欲以任何方式限制本發明。
工作實施例
在下列實施例中,除非另行指明,否則性質係由樣品膜沉積於中等電阻率(8至12 Ωcm)的單晶矽晶圓基材獲得。
所有沉積均靠在裝配Advanced Energy 2000射頻產生器的200 mm DXZ艙中的Applied Materials Precision 5000系統進行,該系統利用TEOS加工組。該PECVD艙具備直接液體注入輸送能力。除了甲矽烷以外,所有前驅物均為 液體而且運送溫度取決於該等前驅物的沸點。典型的液態前驅物流速係100至800 mg/min,電漿功率密度係0.75至2.5 W/cm2,壓力係0.75至8托耳。厚度及折射率RI(於648 nm)係藉由反射計測量。一般,RI不是此研究中的膜性質靈敏度指標。濕蝕刻速率(WER)係於10:1氧化物蝕刻緩衝液(BOE)中測量。所有膜測量均利用水銀探針,包括介電常數、擊穿電場及洩漏電流。該等介電膜的接合性質係藉由Nicolet穿透式FTIR儀器分析。所有密度測量均利用X-射線反射率(XRR)完成。進行X-射線光電子光譜研究(XPS)及拉塞福(Rutherford)背向散射光譜研究(RBS)以測定膜組成。使用氫前向散射法(HFS)將該等膜中的氫含量定量。
表1顯示比較前驅物所用的3個不同加工條件的彙總。把這些條件標示為BL-1、BL-2及BL-3。
在下列實施例中,厚度及光學性質例如該等介 電膜的折射率靠SCI Filmtek Reflectometer來測量。折射率係利用632 nm波長的光來測量。
蝕刻試驗係於6:1 BOE溶液中進行。把例示性介電膜置於HF溶液中30秒,接著於去離子水中沖洗並且在再度測量蝕刻期間的材料損失之前乾燥。重複進行此製程直到該等膜完全蝕刻為止。接著由蝕刻時間對比於蝕刻厚度的關係算出蝕刻速率。
FTIR數據係於晶圓上利用在氮氣洗淨室中的Thermo Nicolet 750系統收集。背景光譜於類似的中等電阻率晶圓上收集以從該光譜消除CO2及水。數據在4000至400 cm-1的範圍中藉由收集解析度為4 cm-1的32次掃描獲得。利用OMNIC套裝軟體處理此數據。
介電常數,k,係由利用MDC水銀探針測得的C-V曲線算出。接著由式k=電容量x接觸面積/該膜的厚度算出該介電常數。
密度係藉由X-射線反射率(XRR)測量。所有標稱厚度<200 nm的樣品均利用低解析度光學裝置(誤差桿+/- 0.01 g/cc)掃描。所有標稱厚度>200 nm的樣品均利用高解析度光學裝置(誤差桿+/- 0.005 g/cc)掃描。樣品越過0.221的範圍利用0.001的步驟值及1秒/步階的計算時間掃描。數據利用把基材定義為Si而且膜定義SiO2的雙層模式來分析。
實施例1
2ES及3ES SiO2膜係於較低溫度下沉積。
圖1A顯示關於經由BL2加工條件於三個溫度- 400℃、300℃及200℃-下沉積的2ES膜之膜厚度對於實測密度的效應。意外的是,該等膜的密度隨著厚度縮減而提高,尤其是於300℃下。
圖1B顯示關於經由BL3加工條件於三個溫度-400℃、300℃及200℃-下沉積的2ES膜之膜厚度對於實測密度的效應。意外的是,該等膜的密度隨著厚度縮減而提高,尤其是於300℃下。
圖2A顯示關於經由BL2加工條件於三個溫度-400℃、300℃及200℃-下沉積的3ES膜之膜厚度對於實測密度的效應:400℃、300℃、200℃。意外的是,該等膜的密度隨著厚度縮減而提高,尤其是於200℃下。
圖2B顯示關於經由BL3加工條件於三個溫度-400℃、300℃及200℃-下沉積的3ES膜之膜厚度對於實測密度的效應:400℃、300℃、200℃。意外的是,該等膜的密度隨著厚度縮減而提高,尤其是於200℃下。
圖3顯示經由BL2條件於400℃下沉積的2ES氧化物的薄和厚膜之FTIR光譜。該等光譜指示兩個膜僅為SiO2。沉積的2ES中沒有Si-H或C-H峰指示該前驅物分子即使於低溫下也有良好的解離。當厚度增加時約1050 cm-1的肩部對峰比之間的差異係由不同機構例如應變鬆弛、幾何效應、原地退火效應及氧不足來解釋。於高品質熱長成的SiO2膜中觀察到此效應。
比較例
SiO2膜係於BL2條件下於200℃下使用TEOS 作為前驅物沉積。密度就90 nm膜來說是2.24 g/cc而且就400 nm膜來說是2.26 g/cc。在此案例中,較厚的膜具有稍高的密度。
不欲受理論所束縛,假設本發明的前驅物具有較好的能力能提高表面遷移率而且減緩化學反應性,尤其是於低溫下。這造成較高品質的膜。舉例來說,於BL3條件下於300℃下(前驅物中的矽量相同),2ES的沉積速率是225 A/min而TEOS的沉積速率是820 A/min。於3500 A/min下TEOS膜的WER比2ES膜的WER(1810 A/min)更高。2ES膜的洩漏電流保持於低值(於7 MV/cm下<10-10 A)而該TEOS膜顯示更高數量級的洩漏電流(於7 MV/cm下10-7 A),這指示絕緣效能不良。
實施例2
以2ES膜與由標準前驅物TEOS沉積的SiO2膜做比較。2ES膜的性質無疑優於TEOS膜的性質。
圖4A顯示以BL1條件於3個不同溫度:400℃、300℃及200℃下沉積的膜的介電常數(“K”)值之比較結果。有良好品質的熱長成或慣用化學氣相沉積的SiO2之介電常數是4.0。關於於400℃沉積的PECVD氧化物,K值按照加工條件的函數變化。有可能將製程最佳化以得到有良好品質的400℃ PECVD SiO2膜的4.1至4.3 K值。然而,於越來越低的沉積溫度下,如較低膜密度及該膜吸濕能力越來越高證實的,該膜品質常會降低,藉以使K值變大。
以2ES沉積的膜具有比以TEOS沉積的膜於 200℃及300℃的沉積溫度下具有更佳的K值。這指示這些膜比於相同條件下沉積的TEOS膜更緻密而且品質更佳。
圖4B顯示以BL1條件於三個溫度:400℃、300℃及200℃下沉積的膜的WER之比較結果。於所有溫度下以2ES沉積的膜均具有比以TEOS沉積的膜更低的WER。這確定2ES膜用於特定應用的優異品質。
圖5顯示關於300℃沉積的TEOS膜對比於於BL3加工條件下以DES沉積的膜之洩漏電流對比於電場的關係。以DES沉積的膜的洩漏電流保持於低值而以TEOS沉積的膜顯現不良的洩漏電流。
圖6經由追蹤平帶電壓(Vfb)比較2ES及TEOS SiO2膜中的界面及體電荷(bulk charge)。關於TEOS膜,當該膜變得更厚時該平帶電壓變得更負性,這指示該膜中的體電荷更多(例如,有缺陷的鍵)。相反地,2ES膜顯示使Vfb維持接近於0 V的能力,這使界面及體電荷均減至最少。
該SiO2的計量化學係由XPS來測量而且結果發現此O/Si比就TEOS氧化物而言為2.17而且就於200℃及BL1條件的2ES而言為2.1。不欲受理論束縛,假設O/Si比>2.0由於該膜中的Si-OH基團而變得可能。可見到2ES具有較小的計量化學偏差而且這與良好的WER及k數據相當符合。
不欲受理論束縛,由於此表面遷移率及化學反應性的改良使本發明的前驅物也具有沉積較高品質的較薄膜的能力。
以上列示的本發明的工作實施例及具體實施例 示範許多可由本發明完成的具體實施例。預期已明確揭示者以外的許多材料也可完成。此製程許多其他的構型也可運用,而且此製程中使用的材料可選自已明確揭示者以外的許多材料。

Claims (23)

  1. 一種將含矽膜沉積於裝置的至少一表面上作為閘極絕緣層之方法,該方法包含:將該裝置的至少一表面提供於一反應艙中;將具有式R1R2R3SiH的烷基矽烷前驅物引進該反應艙中;其中R1係選自由C1-10線性或分支烷基;C4至C10環狀烷基;C3至C12烯基;C3至C12炔基;及C6至C10芳基所組成的群組;R2及R3係獨立地選自氫;C1-10線性或分支烷基;C4至C10環狀烷基;C3至C12烯基;C3至C12炔基;及C6至C10芳基而且其中當R2和R3不是氫時R1與R2和R3的任一者能連結以形成一環;將氧來源引進該反應艙中;及經由沉積製程於介於約25℃至400℃的一或更多反應溫度下將該含矽層沉積於該基材的至少一表面上,其中該含矽膜包含介於2奈米至200奈米的厚度及至少2.2 g/cm3或更大的密度;其中該氣相沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。
  2. 如申請專利範圍第1項之方法,其中該部分裝配好的顯示裝置另外包含一透明金屬氧化物。
  3. 如申請專利範圍第1項之方法,其中該烷基矽烷前驅物係選自由二乙基矽烷、二第三丁基矽烷、二異丙基矽烷、二第二丁基矽烷、二異丁基矽烷、二第二戊基矽烷、三乙基矽烷、三第三丁基矽烷、三異丙基矽烷、三第二丁基矽烷、三異丁基矽烷、三第二戊基矽烷、第三丁基二乙基矽烷、第三丁基二丙基矽烷、二乙基異丙基矽烷、環戊基矽烷、苯基矽烷及其組合所組成的群組。
  4. 如申請專利範圍第1項之方法,其中該氧來源係選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合所組成的群組。
  5. 如申請專利範圍第1項之方法,其中該反應艙中的反應溫度介於150℃至325℃。
  6. 如申請專利範圍第1項之方法,其中該沉積製程係電漿強化化學氣相沉積(PECVD)。
  7. 如申請專利範圍第1項之方法,其中該沉積製程係利用雙重射頻來源的電漿強化化學氣相沉積(PECVD)。
  8. 如申請專利範圍第1項之方法,其中該烷基矽烷前驅物係選自由二乙基矽烷、三乙基矽烷及其組合所組成的群組。
  9. 一種包含2 nm至200nm的厚度及約2.5 g/cm3或更大的密度之含矽膜;其中該含矽薄膜係藉由選自由化學氣相沉積(CVD)、電漿強化化學氣相沉積(PECVD)、循環式化學氣相沉積(CCVD)、電漿強化循環式化學氣相沉積(PECCVD)、原子層沉積(ALD)及電漿強化原子層沉積(PEALD)所組成的群組的沉積製程沉積,及該氣相沉積係於介於約25℃至約400℃的一或更多溫度下使用選自由二乙基矽烷、三乙基矽烷及其組合所組成的群組的烷基矽烷前驅物進行。
  10. 如申請專利範圍第9項之含矽膜,其中一或更多溫度介於約150℃至約325℃。
  11. 如申請專利範圍第9項之含矽膜,其中該含矽膜係藉由電漿強化化學氣相沉積法(PECVD)沉積。
  12. 如申請專利範圍第9項之含矽膜,其中該含矽膜係藉由利用雙重射頻來源的電漿強化化學氣相沉積法(PECVD)沉積。
  13. 如申請專利範圍第9項之含矽膜,其中該含矽膜係藉由使用具有通式R1R2R3SiH的烷基矽烷前驅物沉積;其中R1係 選自由C2-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;R2-3能獨立地選自由氫、C1-10線性或分支烷基、含氧或氮的烷基、環狀烷基、烯基、炔基、芳香族烴及C6-10芳基所組成的群組;當R2-3不是氫時R1能與R2或R3形成一環狀環。
  14. 如申請專利範圍第13項之含矽膜,其中該烷基矽烷前驅物係選自由二乙基矽烷、二第三丁基矽烷、二異丙基矽烷、二第二丁基矽烷、二異丁基矽烷、二第二戊基矽烷、三乙基矽烷、三第三丁基矽烷、三異丙基矽烷、三第二丁基矽烷、三異丁基矽烷、三第二戊基矽烷、第三丁基二乙基矽烷、第三丁基二丙基矽烷、二乙基異丙基矽烷,環戊基矽烷、苯基矽烷及其組合所組成的群組。
  15. 如申請專利範圍第14項之含矽膜,其中該烷基矽烷前驅物包含二乙基矽烷。
  16. 如申請專利範圍第14項之含矽膜,其中該烷基矽烷前驅物包含三乙基矽烷。
  17. 一種將含矽膜沉積於薄膜電晶體裝置的至少一表面上之方法,該方法包含:將該薄膜電晶體的至少一表面提供於一反應艙中;將具有式R1R2R3SiH的烷基矽烷前驅物引進該反應艙中; 其中R1係選自由C1-10線性或分支烷基;C4至C10環狀烷基;C3至C12烯基;C3至C12炔基;及C6至C10芳基所組成的群組;R2及R3係獨立地選自氫;C1-10線性或分支烷基;C4至C10環狀烷基;C3至C12烯基;C3至C12炔基;及C6至C10芳基而且其中當R2和R3不是氫時R1與R2和R3的任一者能連結以形成一環;將氧來源引進該反應艙中;及經由沉積製程於介於約25℃至400℃的一或更多反應溫度下將該含矽層沉積於該薄膜電晶體裝置的至少一表面上,其中該含矽膜包含介於2奈米至200奈米的厚度及至少2.2 g/cm3或更大的密度;其中該沉積製程係選自由化學氣相沉積法(CVD)、電漿強化化學氣相沉積法(PECVD)、循環式化學氣相沉積法(CCVD)、電漿強化循環式化學氣相沉積法(PECCVD)、原子層沉積法(ALD)及電漿強化原子層沉積法(PEALD)所組成的群組。
  18. 如申請專利範圍第17項之方法,其中該烷基矽烷前驅物係選自由二乙基矽烷、二第三丁基矽烷、二異丙基矽烷、二第二丁基矽烷、二異丁基矽烷、二第二戊基矽烷、三乙基矽烷、三第三丁基矽烷、三異丙基矽烷、三第二丁基矽烷、三異丁基矽烷、三第二戊基矽烷、第三丁基二乙基矽烷、第三丁基二丙基矽烷、二乙基異丙基矽烷、環戊基矽烷、苯基矽烷及其組合所組成的群組。
  19. 如申請專利範圍第17項之方法,其中該氧來源係選自由水(H2O)、氧(O2)、氧電漿、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合所組成的群組。
  20. 如申請專利範圍第17項之方法,其中該反應艙中的反應溫度介於150℃至325℃。
  21. 如申請專利範圍第17項之方法,其中該沉積製程係電漿強化化學氣相沉積(PECVD)。
  22. 如申請專利範圍第17項之方法,其中該烷基矽烷前驅物係選自由二乙基矽烷、三乙基矽烷及其組合所組成的群組。
  23. 如申請專利範圍第17項之方法,其中該含矽膜係於該薄膜電晶體裝置中的鈍化層、閘極絕緣層、蝕刻擋止層。
TW102108316A 2012-03-09 2013-03-08 低溫含矽膜 TWI502645B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261608955P 2012-03-09 2012-03-09

Publications (2)

Publication Number Publication Date
TW201338040A true TW201338040A (zh) 2013-09-16
TWI502645B TWI502645B (zh) 2015-10-01

Family

ID=47915349

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102108316A TWI502645B (zh) 2012-03-09 2013-03-08 低溫含矽膜

Country Status (7)

Country Link
US (1) US11626279B2 (zh)
EP (1) EP2823083B1 (zh)
JP (3) JP6092902B2 (zh)
KR (2) KR101996942B1 (zh)
CN (1) CN104284997B (zh)
TW (1) TWI502645B (zh)
WO (1) WO2013134653A1 (zh)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
FR3023654B1 (fr) * 2014-07-09 2016-08-26 Commissariat Energie Atomique Encapsulation d'un composant optoelectronique organique
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6689886B2 (ja) * 2015-05-22 2020-04-28 ダウ シリコーンズ コーポレーション ペンタクロロジシラン
CN104985177B (zh) * 2015-06-18 2017-05-17 南开大学 一种表面钝化的纳米锗颗粒的制备方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10658518B2 (en) * 2016-08-19 2020-05-19 Rutgers, The State University Of New Jersey Magnesium zinc oxide-based high voltage thin film transistor
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110546302B (zh) * 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN109461767B (zh) * 2018-10-25 2022-03-29 深圳市金鑫城纸品有限公司 一种超结结构的制作方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20200076343A (ko) 2018-12-19 2020-06-29 엘지디스플레이 주식회사 박막 트랜지스터 및 이를 포함하는 표시장치
WO2020127256A1 (en) * 2018-12-21 2020-06-25 Agc Glass Europe Method for coating metal
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20220102534A1 (en) * 2019-02-15 2022-03-31 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor device
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN109935519B (zh) * 2019-03-26 2020-11-03 深圳市华星光电技术有限公司 提高栅极绝缘层成膜均匀性的方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
EP3997729A4 (en) * 2019-08-16 2023-07-12 Versum Materials US, LLC SILICON COMPOUNDS AND METHODS OF DEPOSITIONING FILMS USING THEM
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220054439A (ko) * 2019-09-10 2022-05-02 어플라이드 머티어리얼스, 인코포레이티드 디스플레이 캡슐화 애플리케이션을 위한 고밀도 플라즈마 cvd
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11482414B2 (en) * 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN115136323A (zh) * 2020-02-20 2022-09-30 株式会社尼康 晶体管、电子装置及晶体管之制造方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN111378968A (zh) * 2020-04-16 2020-07-07 深圳先进电子材料国际创新研究院 一种防腐蚀纳米涂层及其等离子体制备方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114107939B (zh) * 2022-01-27 2022-05-17 上海陛通半导体能源科技股份有限公司 金属薄膜沉积方法
CN116497340B (zh) * 2023-06-21 2023-09-12 上海陛通半导体能源科技股份有限公司 一种形成低温碳氧化硅薄膜的方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4981724A (en) * 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
JPH0697158A (ja) 1991-09-12 1994-04-08 Semiconductor Energy Lab Co Ltd 光気相反応方法
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH05145074A (ja) * 1991-11-20 1993-06-11 Toshiba Corp 薄膜トランジスタおよびその製造方法
JP3333401B2 (ja) 1996-09-18 2002-10-15 株式会社東芝 半導体装置の製造方法
US6159559A (en) * 1997-07-07 2000-12-12 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS)
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
US6902960B2 (en) * 2002-11-14 2005-06-07 Sharp Laboratories Of America, Inc. Oxide interface and a method for fabricating oxide thin films
KR101162377B1 (ko) 2003-03-28 2012-07-09 도요 세이칸 가부시키가이샤 플라즈마 cvd법에 의한 화학 증착막 및 그 형성 방법
JP2005191482A (ja) 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP4613587B2 (ja) 2004-08-11 2011-01-19 株式会社明電舎 酸化膜形成方法とその装置
JP4408816B2 (ja) 2005-01-07 2010-02-03 富士通株式会社 半導体装置の製造方法
JP2007048811A (ja) 2005-08-08 2007-02-22 Asm Japan Kk 半導体装置の配線層間絶縁膜及びその製造方法
JP2007235093A (ja) * 2006-01-31 2007-09-13 Toshiba Corp 半導体装置の製造方法
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
US20080139003A1 (en) * 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
TW200842950A (en) * 2007-02-27 2008-11-01 Sixtron Advanced Materials Inc Method for forming a film on a substrate
JP5200436B2 (ja) * 2007-07-18 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5213422B2 (ja) * 2007-12-04 2013-06-19 キヤノン株式会社 絶縁層を有する酸化物半導体素子およびそれを用いた表示装置
TWI490363B (zh) 2009-02-06 2015-07-01 Nat Inst For Materials Science 絕緣膜材料、使用該絕緣膜材料的成膜方法及絕緣膜
CN101819363B (zh) * 2009-02-27 2011-12-28 北京京东方光电科技有限公司 Tft-lcd阵列基板及其制造方法
JP5763876B2 (ja) 2009-05-08 2015-08-12 コニカミノルタ株式会社 薄膜トランジスタ、及びその製造方法
TW201103090A (en) 2009-07-01 2011-01-16 Univ Nat Chiao Tung Method for manufacturing a self-aligned thin film transistor and a structure of the same
JP5727204B2 (ja) * 2009-12-11 2015-06-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
WO2017165504A1 (en) * 2016-03-22 2017-09-28 Scientific Anglers Llc Fly fishing line and method for manufacturing same

Also Published As

Publication number Publication date
US11626279B2 (en) 2023-04-11
KR20140138276A (ko) 2014-12-03
JP2015517200A (ja) 2015-06-18
EP2823083B1 (en) 2023-10-04
US20150014823A1 (en) 2015-01-15
KR101996942B1 (ko) 2019-07-05
JP6092902B2 (ja) 2017-03-08
CN104284997B (zh) 2016-08-17
TWI502645B (zh) 2015-10-01
KR20170102369A (ko) 2017-09-08
CN104284997A (zh) 2015-01-14
JP2019117932A (ja) 2019-07-18
JP2017103481A (ja) 2017-06-08
EP2823083A1 (en) 2015-01-14
WO2013134653A1 (en) 2013-09-12

Similar Documents

Publication Publication Date Title
TWI502645B (zh) 低溫含矽膜
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
KR102029286B1 (ko) 디스플레이 디바이스를 위한 배리어 물질
KR101070953B1 (ko) 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법
KR20120044992A (ko) 산화규소 함유 필름의 형성 방법
EP2363512A1 (en) Methods to prepare silicon-containing films
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
Zanotti et al. Process characterization for LPCVD TEOS-ozone based SiO2 films
Domínguez et al. Spin-On Glass as low temperature gate insulator
Mallikarjunan et al. Precursor design and engineering for low-temperature deposition of gate dielectrics for thin film transistors