TW200908092A - Self-aligned pillar patterning using multiple spacer masks - Google Patents
Self-aligned pillar patterning using multiple spacer masks Download PDFInfo
- Publication number
- TW200908092A TW200908092A TW097120234A TW97120234A TW200908092A TW 200908092 A TW200908092 A TW 200908092A TW 097120234 A TW097120234 A TW 097120234A TW 97120234 A TW97120234 A TW 97120234A TW 200908092 A TW200908092 A TW 200908092A
- Authority
- TW
- Taiwan
- Prior art keywords
- mask
- spacer
- lines
- gap
- layer
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
Description
200908092 九、發明說明: 【發明所屬之技術領域】 本發明是關於半導體處理領域。 【先前技術】
過去數十年來,積體電路的特徵尺寸縮減已成為半導 體產業持續發展的驅動力。製作越來越小的特徵結構可提 高半導體晶片之有限面積上的功能單元密度。例如,縮小 電晶體尺寸可在微處理器内納入更多的邏輯與記憶元件, 以致增加產品製造的複雜度。 然而尺寸縮減(scaling)將造成一些後果。隨著微電子 電路的基礎建構區塊尺寸縮小,以及在指定區域中的基礎 建構區塊總數增加,使得用來圖案化這些建構區塊的微影 製程限制條件變得相當重要。明確而言,是對半導體堆疊 結構中的最小圖案化特徵尺寸(關鍵尺寸)與特徵之間的間 距陷入取捨兩難。第1A-1C圖為多個截面圖以顯示根據先 前技術之傳統半導體微影製程。 參照第1 A圖,光阻層1 0 4位於半導體堆疊1 0 2上。 光罩或罩幕106置於光阻層104上。微影製程包括以如第 1 A圖箭頭所示的特定波長光線(hv)曝照光阻層1 04。參照 第1B圖,接著顯影光阻層104,以形成圖案化光阻層108 於半導體堆疊102上。也就是,移除光阻層104已曝光的 部分。圖案化光阻層1 0 8的特徵寬度以「X」表示。特徵之 間的間距以「y」表示。一般而言,特定微影製程的極限值 5 200908092 是用來形成關鍵尺寸等於特徵間距的特徵結構,即如第1 B 圖所示,x = y。 參照第1 C圖,特徵的關鍵尺寸(即寬度「X」)可縮減, 而在半導體堆疊結構102上形成圖案化光阻層110。藉由 在第1A圖之微影步驟中過度曝光光阻層104,或是隨後削 減第1 B圖之圖案化光阻層1 0 8,可縮減關鍵尺寸。但縮減 關鍵尺寸會導致特徵之間的間距變大,如第1 C圖之間距 「y」所示。也就是,可能要在圖案化光阻層1 1 0可達到的 最小特徵尺寸與特徵間距之間做出取捨。 故在此提出使用多重間隙壁罩幕的自我對準柱狀圖案 化方法。 【發明内容】 一種製造半導體罩幕的方法,該方法包含:提供一第 一間隙壁罩幕之一組線條的映像至一罩幕堆疊,以形成一 圖案化罩幕堆疊;以及,提供一第二間隙壁罩幕之一組線 條的映像至該圖案化罩幕堆疊,以形成由一組柱狀物所組 成的一柱狀罩幕,其中該第二間隙壁罩幕之該組線條的映 像不平行於該第一間隙壁罩幕之該組線條的映像。 一種製造半導體罩幕的方法,其包含:提供一半導體結構, 該半導體結構具有一第一犧牲罩幕,該第一犧牲罩幕包含 在一罩幕堆疊上的一第一組線條;形成一第一間隙壁罩 幕,該第一間隙壁罩幕具有多個間隙壁線條鄰接該第一犧 牲罩幕之該第一組線條的側壁;移除該第一犧牲罩幕;且 6 200908092 接著提供該第一間隙壁罩幕之該些間隙壁線條的映像至該 罩幕堆疊,而形成一圖案化罩幕堆疊;形成一第二犧牲罩 幕,其包括一第二組線條在該圖案化罩幕堆疊上;形成一 , 第二間隙壁罩幕,其具有多個間隙壁線條鄰接該第二犧牲 罩幕之該第二組線條的側壁,其中該第二間隙壁罩幕的該 * 些間隙壁線條不平行於該圖案化罩幕堆疊中該第一間隙壁 罩幕之該些間隙壁線條的映像;移除該第二犧牲罩幕;以 ζ ) 及,接著提供該第二間隙壁罩幕之該些間隙壁線條的映像 至該圖案化罩幕堆疊,以形成由一組柱狀物所組成的一柱 狀罩幕堆疊。 一種製造半導體罩幕的方法,該方法包含:提供一半 導體結構,其具有一第一犧牲罩幕,該第一犧牲罩幕包含 一第一組線條在一罩幕堆疊上;沉積一第一間隙壁層於該 半導體結構上,並且與該第一犧牲罩幕共形;蝕刻該第一 間隙壁層,以形成一第一間隙壁罩幕,該第一間隙壁罩幕 q 具有多個間隙壁線條鄰接該第一犧牲罩幕之該第一組線條 的側壁;移除該第一犧牲罩幕;且接著提供該第一間隙壁 罩幕之該些間隙壁線條的映像至該罩幕堆疊,而形成一圖 案化罩幕堆疊;形成一第二犧牲罩幕,其包括一第二組線 條於該圖案化罩幕堆疊上;沉積一第二間隙壁層於該圖案 ' 化罩幕堆疊上,並且與該第二犧牲罩幕共形;蝕刻該第二 間隙壁層,以提供一第二間隙壁罩幕,該第二間隙壁罩幕 具有多個間隙壁線條鄰接該第二犧牲罩幕之該第二組線條 7 200908092 的側壁,其中該第二間隙壁罩幕的該些間隙壁線條不平行 於該圖案化罩幕堆疊中該第一間隙壁罩幕之該些間隙壁線 條的映像;移除該第二犧牲罩幕;以及接著提供該第二間 隙壁罩幕之該些間隙壁線條的映像至該圖案化罩幕堆疊, 以形成由一組柱狀物所組成的一柱狀罩幕堆疊。 【實施方式】 在此描述使用多重間隙壁罩幕的自我對準柱狀圖案化 方法。為徹底瞭解本發明,以下述敘内容提及許多特定細 節,例如製造條件和材料類型。熟諳此技藝者當知曉可以 不用依照這些特定細節來實施本發明。在其他例子中,諸 如積體電路設計佈局或光阻顯影製程等熟知特徵將不再詳 述,以免糢糊本發明焦點。另外,應理解圖式中所繪示的 各種實施例僅是作為示範範例,無需按比例繪製。 在此揭露一種製造半導體罩幕的方法。可先提供第一 間隙壁罩幕的一組線條映像至一罩幕層,而形成一圖案化 罩幕層。在一實施例中,接著提供第二間隙壁罩幕的一組 線條映像至該圖案化罩幕層,而形成由一組柱狀物所組成 的柱狀罩幕。第二間隙壁罩幕的線條組映像不平行於第一 間隙壁罩幕的該組線條。在一實施例中,第二間隙壁罩幕 之線條的映像垂直於第一間隙壁罩幕的線條。因此,柱狀 罩幕的柱狀物具有方形形狀。在另一實施例中,第二間隙 壁罩幕之該組線條的映像與第一間隙壁罩幕的線條夾有一 角度Θ,其中 45。<θ<9 0。。故柱狀罩幕的每個柱狀物呈菱 8 200908092 形形狀。 藉著製造間隙壁罩幕,可使微影圖案的頻率加倍。例 如,根據本發明一實施例,所製造之間隙壁罩幕的間隙壁 線條鄰接該微影圖案化犧牲罩幕的側i。也就是,犧牲罩 幕中的每個線條可產生二個間隙壁罩幕間隙壁線條。故一
旦移除犧牲罩幕 同樣的特徵寬度 導體圖案化罩幕 的線距選擇4, ’即可製得各線條之關鍵尺寸實質相同(即 )、但在一指定區域中之線條密度加倍的半 。例如,根據本發明一實施例,犧牲罩幕 以得到最終線距為2的間隙壁罩幕。 可藉著將二個不同間隙壁罩幕的映像重覆地轉移到硬 光罩層内而製得柱狀蝕刻罩幕。也就是,根據本發明一實 施例先冑第—間隙壁罩幕的#像轉移到了面的硬光罩 層 灸移除第-間隙壁罩幕而留下已圖案化的硬光罩
層。 第 二 間 隙 壁 罩 幕 接 著 形 二 間 隙 壁 罩 幕 的 線 條 不 平 行 間 隙 壁 罩 幕 的 線 條 映 像 〇 因 轉 移 到 圖 案 化 硬 光 罩 層 後 狀 硬 光 罩 的 映 像 轉 移 到 罩 柱 狀 蝕 刻 罩 幕 包 含 組 具 有 準 柱 狀 物 〇 由 於 不 論 第 — 和 移 只 要 間 隙 壁 罩 幕 間 的 角 的 尺 寸 與 形 狀 皆 相 同 , 因 此 一 實 施 例 中 > 第 間 隙 壁 罩 幕 之 線 條 的 映 像 因 此 所 產 成在該圖案化硬光罩層上。第 於最先轉移到硬光罩層之第一 此’將第二間隙壁罩幕的映像 即形成柱狀硬光罩。接著將柱 幕堆疊而形成柱狀蝕刻罩幕。 才目同形狀與相同尺寸的自我對 第二間隙壁罩幕之間是否有偏 度保持相同,則每一個柱狀物 該些柱狀物是自我對準的。在 幕的線條垂直於第一間隙壁罩 生的柱狀餘刻罩幕包含一組方 9
200908092 柱。在另一實施例中,第二間隙壁罩幕的線條不垂直 一間隙壁罩幕之線條的映像,所產生的柱狀蝕刻罩幕 一組菱形狀柱狀物。由於每個間隙壁罩幕本身會使特 率加倍,因此使用二個間隙壁罩幕所形成之柱狀蝕刻 的柱狀物密度是使用二個用來製造間隙壁罩幕之犧牲 所達成密度的四倍。 可利用多重間隙壁罩幕來形成柱狀蝕刻罩幕。第 圖繪示根據本發明一實施例,使用二個間隙壁罩幕所 的柱狀罩幕之截面。 參照第2 A圖,柱狀蝕刻罩幕270A包含一組位於 或半導體層2 0 8上的方柱。根據本發明一實施例,柱 刻罩幕270A是藉由重覆使用二個互相垂直的間隙壁 而形成。在一實施例中,具有方柱的柱狀罩幕270A 來圖案化半導體層 2 0 8,以製造出一組用於快閃記憶 件的半導體結構。參照第2B圖,柱狀蝕刻罩幕270B 一組菱形狀柱狀物位於基材或半導體層2 0 8上。根據 明一實施例,藉由重覆使用二個既不互相垂直、也不 平行的間隙壁罩幕形成來柱狀蝕刻罩幕2 7 0 B。在一實 中,具有菱形狀柱狀物的柱狀罩幕270B是用來圖案 導體層 208,以製造出一組用於動態隨機存取記 (DRAM)元件的半導體結構。 柱狀蝕刻罩幕的製造包括重覆使用二個間隙壁罩 第3圖為根據本發明一實施例的流程圖,繪示使用二 隙壁罩幕之自我對準柱狀圖案化方法的一連串步驟 於第 包含 徵頻 罩幕 罩幕 2A-B 形成 基材 狀蝕 罩幕 可用 體元 包含 本發 互相 施例 化半 憶體 幕。 個間 。第 10 200908092 4A-O’圖為根據本發明一實施例,將第 3圖流程之一連串 步驟應用到半導體堆疊後的截面圖和俯視圖。 參照流程3 00之步驟302和相應的第4A圖,半導體 堆疊400包含第一罩幕堆疊404、中間硬光罩層405和第 二罩幕堆疊406位於半導體層408上。圖案化光阻層402 置於半導體堆疊400上。圖案化光阻層將用來在半導體堆 疊40 0的第一罩幕堆疊404中形成第一犧牲罩幕。
圖案化光阻層402可包含任何適合用於微影製程中的 材料。也就是,形成圖案化光阻層402可先遮蔽光阻材料 毯覆層,然後以光源曝照之。接著顯影該毯覆光阻層,以 形成圖案化光阻層 4 0 2。在一實施例中,顯影光阻層時, 光阻層經過光源曝照的部分會被移除,也就是該圖案化光 阻層4 0 2由正光阻材料組成。在一特定實施例中,圖案化 光阻層 402 包含之正光阻材料選自於由 248奈米(nm)光 阻、1 93nm光阻、1 57nm光阻和含有重氮萘酚醌感光劑 (diazonaphthoquinone sensitizer)之盼搭樹月旨基質所構成 之群組中。在另一實施例中,顯影光阻層時,光阻層經過 光源曝照的部分會被保留,即圖案化光阻層402是由負光 阻材料組成。在一特定實施例中,圖案化光阻層4 0 2包含 之負光阻材料選自於由聚順異戊二烯(P〇Iy-cis-isoprene) 和聚肉桂酸乙稀醋(poly-vinyl-cinnamate)構成之群組中。 圖案化光阻層402可具有任一適合用於間隙壁罩幕製 造製程中的尺寸。根據本發明一實施例,圖案化光阻層4 0 2 的各特徵寬度「xj實質上與半導體元件特徵的預定關鍵尺 11 200908092 寸(如定義閘極的柱狀物寬度)有關。在一實施例中,寬度 「X」為 10-100nm。選擇線條之間的線距「y」,以有效進 行第一倍頻方法。即,根據本發明一實施例,訂定後續製 造之間隙壁罩幕,使得間隙壁罩幕的間隙壁線條寬度實質 上與圖案化光阻層4 02之特徵寬度「X」相同。另外,後續 形成之間隙壁的線距實質上等於各個間隙壁區域的寬度。 故在一實施例中,如第4A圖所示,由於第一間隙壁罩幕 的頻率最後會加倍,因此圖案化光阻層4 0 2中各特徵之間 的間距「y」大約等於寬度「X」的三倍。即,圖案化光阻 層4 0 2的線距選擇約為4,以最終得到間隙壁線距約為2 的第一間隙壁罩幕。 達成圖案化光阻層402之特徵間距:寬度為3:1的方 式包括在曝光步驟時,過度曝光正光阻層,或在微影/顯影 製程後削減光阻層。在一實施例中,圖案化光阻層4 0 2包 含1 93 nm正光阻,並且在顯影後,使用電漿蝕刻化學劑削 減該圖案化光阻層 402。雖然就倍頻方法(frequency doubling scheme)而言,圖案化光阻層402之各特徵的理想 寬度為圖案化光阻層402之間距的1 /4,但最初訂定的寬 度宜稍微加大,以補償用於圖案化第一罩幕堆疊4 04的蝕 刻製程。故根據本發明一實施例,可將圖案化光阻層 402 的最初線寬訂定介在該間距的0.2 8 1 - 0.3 1 2倍之間。 參照流程3 0 0之步驟3 0 4和對應的第4 B圖,利用蝕 刻製程將圖案化光阻層 4 0 2的映像轉移到第一罩幕堆疊 4 04,以形成第一犧牲罩幕4 1 0。用來轉移映像的蝕刻製程 12 200908092 可為任何適合從圖案化光阻層402將實質相同之映像轉移 至第一罩幕堆叠404的製程。 第一罩幕堆疊404和第一犧牲罩幕410可含任何適合 在間隙壁罩幕製造製程中做為犧牲罩幕的材料或該些材料
的組合。根據本發明一實施例,第一罩幕堆疊4 0 4由單一 材料組成’如第4 A圖的單一斜線所示者。由單一材料組 成之第一罩幕堆疊404的組成和厚度適合使用不會實質影 響圖案化光阻層402的蝕刻製程來執行蝕刻。即,在一實 施例中’單一材料組成之第一罩幕堆疊4〇4的尺寸和蝕刻 特性乃經過選擇’而經得起圖案化製程,並且圖案化期間, 圖案化光阻層402實質上仍保持完整未損。在一特定實施 例中,圖案化光阻層4〇2包含碳基材料(carb〇n_based material),第—罩幕堆疊4〇4含有—選自於由氮化矽、氧 化梦、和無定形或多晶矽所構成之群組中的材料。在一特 疋實施例t第—罩幕堆疊4〇4實質上由氮化矽組成,並 且用來形成第—犧牲罩|41〇的蝕刻製程所採用的氣體係 選自於由二氟甲γp t、 士 — ( 2 2)和三氟甲烷(CHF3)構成之群組 中。在另一特定實施例中 » « 第一罩幕堆疊404實質上由氧 化破所組成,並且用爽形α m 成第一犧牲罩幕410的蝕刻製程 所採用的氣體係選自於由 C4F8和二氟甲烷(CHF3)構成之 辟殂〒。在又—胜中香 ,實施例中,第—罩幕堆疊404實質上 由無定形或多晶矽έΒ Λ Λ Λ % ^ ,且用來形成第一犧牲罩幕410的 — Ο構成之群组中選自於由氣氣⑹2)和漠化氮 、捸本發明一實施例,單一材料組成 200908092 之第一罩幕堆疊404的厚度乃經過選擇,以使倍頻方法中 後續間隙壁罩幕的形成達到最佳化。第一罩幕堆疊404的 厚度夠薄,以免後續形成之間隙壁罩幕的間隙壁罩幕線條 崩塌,且厚度又要夠厚而足以控制間隙壁罩幕線條的關鍵 尺寸。在一實施例中,單一材料組成之第一罩幕堆疊404 的厚度為第一犧牲罩幕410之訂定線寬的4.06-5.625倍。
根據本發明另一實施例,第一罩幕堆疊404包含第一 硬光罩層404A位於第一罩幕層404B上,如第4A圖繪示 的雙層者。故如第4B圖所示,第一犧牲罩幕410包含犧 牲硬光罩部分4 1 0 A於犧牲罩幕部分4 1 0 B上。在一實施例 中,第一硬光罩層404A和第一罩幕層404B以二道不同蝕 刻步驟利用圖案化光阻層4 0 2之映像而加以圖案化。第一 硬光罩層404A可含任何適合在使用不實質影響圖案化光 阻層4 0 2之蝕刻製程來執行蝕刻的材料。即,在一實施例 中,第一硬光罩層404A的尺寸和蝕刻特性乃經過選擇, 而經得起圖案化製程,並且在圖案化期間,圖案化光阻層 402實質上保持完整未損。在一特定實施例中,第一罩幕 層404B(其位於第一硬光罩層404A下方)所含材料的蝕刻 特性類似於圖案化光阻層402的蝕刻特性。因此,在後續 蝕刻第一罩幕層404B期間,第一硬光罩層404A用來維持 圖案化光阻層4 0 2的映像。在一特定實施例中,圖案化光 阻層402和第一罩幕層404 B包含碳基材料,第一硬光罩 層404A含有一選自於由氮化矽、氧化矽、和無定形或多 晶矽構成之群組中的材料。在一特定實施例中,第一硬光 14
200908092 罩層 404A實質上由氮化矽組成,以及用來圖案化第一 光罩層404A且對圖案化光阻層402和第一罩幕層404B 選擇性的蝕刻製程所採用的氣體係選自於由 CH2F2 CHF3構成之群組中。在另一特定實施例中,第一硬光罩 4 04 A實質上由氧化矽組成,以及用來圖案化第一硬光罩 404A且對圖案化光阻層402和第一罩幕層404B具選擇 的蝕刻製程所採用的氣體係選自於由C4F8和CHF3構成 群組中。在又一特定實施例中,第一硬光罩層404A實 上由無定形或多晶矽組成,以及用來圖案化第一硬光罩 404A且對圖案化光阻層402和第一罩幕層404B具選擇 的蝕刻製程所採用的氣體係選自於由Cl2和HBr構成之 組中。第一硬光罩層 4 0 4 A的厚度夠薄,而足以相對於 案化光阻層4 0 2進行高度選擇性蝕刻,且厚度又要夠厚 以免形成針孔而不當地露出第一罩幕層404B。在一實施 中,第一硬光罩層404A的厚度為20nm至50nm。 當第一罩幕堆疊404包含第一硬光罩層404A於第 罩幕層404B上時,第一罩幕層404B可由任何禁得起一 制蝕刻製程和後續間隙壁罩幕形成製程的材料所組成。 一實施例中,第一罩幕層 4 0 4 B的蝕刻特性類似於圖案 光阻層402。在一特定實施例中,圖案化光阻層402和 一罩幕層 404B的厚度乃經過選擇,以於蝕刻第一罩幕 404B時,移除所有蝕刻第一硬光罩層4 04A後所留下的 案化光阻層4 0 2部分。例如,根據本發明一實施例,圖 化光阻層 402和第一罩幕層 404B實質上皆由碳原子 硬 具 和 層 層 性 之 質 層 性 群 圖 , 例 控 在 化 第 層 圖 案 組 15
200908092 成。在一實施例中,第一罩幕層404B包-sp2(石墨狀)與 sp 1 (熱解碳狀)混成執域的 其是利用碳_氫化合物前驅物分子進行化學 得。在此技藝領域中,已知此種膜層為無 特定實施例中,第一罩幕層404B包含此 並使用選自於由氧氣(〇2)與氮氣(N2)翻 (CH4)、氮氣(N2)與氧氣(02)組合物所構成 進行蝕刻。在一特定實施例中,實質上所 層402是在用來圖案化第一罩幕層404B 中移除。第一罩幕層 404B的厚度夠薄, 間隙壁罩幕的間隙壁罩幕線條崩塌,且厚 足以控制間隙壁罩幕線條的關鍵尺寸。在 有第一硬光罩層404A和第一罩幕層404B 4 04 的總厚度是第一犧牲罩幕 410 4.06-5.625 倍。 再次參照第4B圖,對中間硬光罩層 地圖案化該第一罩幕堆疊404,以形成第-其在進行進一步處理之前用來保護第二罩 間硬光罩層405具有可適當保護第二罩幕 於受到形成第一犧牲罩幕4 1 0之蝕刻製程 據本發明一實施例,第一罩幕堆疊4 04由 且對中間硬光罩層4 0 5具有蝕刻選擇性。 第一罩幕堆疊404包含氮化矽,中間硬光 選自於由氧化矽和無定形或多晶矽構成之 t s p 3 (菱形狀)、 碳原子混合物, 氣相沉積製程而 定形碳膜。在一 種無定形碳膜, .合物,或曱烷 之群組中的氣體 有的圖案化光阻 的同一 14刻步驟 以免後續形成之 度又要夠厚,而 一實施例中,含 之第一罩幕堆疊 之訂定線寬的 405具有選擇性 -犧牲罩幕4 1 0, 幕堆疊 406。中 堆疊406使其免 影響的性質。根 單一材料組成, 在一實施例中, 罩層405含有一 群組中的材料。 16 200908092 V'
在另一實施例中,第一罩幕堆疊4 0 4包含氧化矽,中間硬 光罩層4 0 5含有一選自於由氮化矽和無定形或多晶矽構成 之群組中的材料。在又一實施例中,第一罩幕堆疊404包 含無定形或多晶矽,中間硬光罩層405含有一選自於由氮 化矽和氧化矽構成之群組中的材料。根據本發明另一實施 例,第一罩幕堆疊404包含第一硬光罩層404Α和第一罩 幕層404Β。在一實施例中,第一罩幕層404Β包含無定形 碳膜,並以選自於由〇2與Ν2組合物,或CH4、Ν2與02 组合物所構成之群組中的氣體來蝕刻之;中間硬光罩層 405含有一選自於由氮化矽、氧化矽、和無定形或多晶矽 構成之群組中的材料。中間硬光罩層4 0 5的厚度夠薄,而 足以於隨後相對第二罩幕堆疊4 0 6進行高選擇性蝕刻,且 厚度又要夠厚,以免形成針孔而不當地露出第二罩幕堆疊 406使其暴露在蝕刻第一罩幕堆疊404的蝕刻製程中。在 一實施例中,中間硬光罩層4 0 5的厚度為1 5至4 0奈米。 參照流程3 0 0之步驟3 0 6和對應的第4 C圖,間隙壁 層412共形沉積於第一犧牲罩幕410和中間硬光罩層405 上。間隙壁層4 1 2是終將變成在自我對準枉狀圖案化方法 之第一間隙壁罩幕的材料來源。 間隙壁層4 1 2可含任何適合形成後續蝕刻製程中之可 靠罩幕的材料。根據本發明一實施例,間隙壁層4 1 2含有 一選自於由氮化矽、氧化矽和無定形或多晶矽構成之群組 中的材料。可利用任何適合形成共形層於第一犧牲罩幕 4 1 0之側壁上(如第 4 C 圖所示)的製程來沉積間隙壁層 17 200908092
4 1 2。在一實施例中,以化學氣相沉積(CVD)技術沉積間隙 壁層4 1 2,該化學氣相沉積可選自於由分子有機C V D、低 壓CVD和電漿增強CVD所構成之群組中。選擇間隙壁層 4 1 2的厚度,以決定後續形成之間隙壁罩幕的特徵寬度。 故根據本發明一實施例,如第4 C圖所示,間隙壁層 412 的厚度實質上與第一犧牲罩幕4 1 0的特徵寬度相同。雖然 就倍頻方法而言,間隙壁層4 1 2的理想厚度與第一犧牲罩 幕4 1 0的特徵寬度相同,但最初訂定的寬度可稍微加大, 以補償用於圖案化該間隙壁層4 1 2的蝕刻製程。在一實施 例中,間隙壁層4 1 2的厚度約為第一犧牲罩幕4 1 0之特徵 寬度的1.0 6倍,也就是後續形成之間隙壁罩幕的預定特徵 線寬的1.0 6倍。 再次參照流程300之步驟3 06和對應的第4D圖,間 隙壁層4 1 2經蝕刻而成為第一間隙壁罩幕4 1 4,以露出第 一犧牲罩幕4 1 0和中間硬光罩層4 0 5的頂表面。第一間隙 壁罩幕4 1 4的線條與第一犧牲罩幕4 1 0之特徵側壁共形。 因此如第4D圖所示,每一條第一犧牲罩幕410的線條具 有兩條第一間隙壁罩幕4 1 4的線條。 可以任何良好控制尺寸的適合製程來蝕刻間隙壁層 412,也就是可維持第一犧牲罩幕410的關鍵尺寸寬度。根 據本發明一實施例,如第4 D圖所示,蝕刻間隙壁層4 1 2, 直到第一間隙壁罩幕4 1 4的線條高度實質上與第一犧牲罩 幕410的特徵等高為止。然而,在另一實施例中,第一間 隙壁罩幕4 1 4的線條被凹蝕而略低於第一犧牲罩幕4 1 0之 18 \
200908092 特徵的頂表面,以確保第一間隙壁罩幕4 1 4線條上方 條之間的間隙壁層4 1 2不連續。蝕刻間隙壁層4 1 2, 一間隙壁罩幕4 1 4的間隙壁線條仍保有間隙壁層4 1 2 來厚度。在一特定實施例中,如第4 D圖所示,各第 隙壁罩幕4 1 4之線條的頂表面寬度實質上與第一間隙 幕414和中間硬光罩層405的介面寬度相同。 間隙壁層4 1 2亦蝕刻成第一間隙壁罩幕4 1 4,其 一犧牲罩幕410和中間硬光罩層405具高度選擇性。 特定實施例中,第一犧牲罩幕410為單層罩幕,且相 層具預定蝕刻選擇性。在另一特定實施例中,第一犧 幕4 1 0為堆疊層,且相對於犧牲硬光罩部分(也就是相 第一硬光罩層404A的材料)具期望的蝕刻選擇性。故 本發明一實施例,組成間隙壁層4 1 2和第一間隙壁罩幕 的材料不同於第一犧牲罩幕410和中間硬光罩層405 的材料。在一實施例中,第一犧牲罩幕4 1 0頂部包含 矽,中間硬光罩層4 0 5包含氧化矽,間隙壁層4 12包 定形矽或多晶矽,並且使用Cl2或HBr氣體形成的電 行乾蝕刻製程而蝕刻成第一間隙壁罩幕4 1 4。在另一 例中,第一犧牲罩幕4 1 0頂部包含氧化矽,中間硬光 4 05包含氮化矽,間隙壁層412包含無定形矽或多晶 並且使用Cl2與HBr組合氣體產生的電漿進行乾蝕刻 而蝕刻成第一間隙壁罩幕4 1 4。在又一實施例中,第 牲罩幕4 1 0頂部包含無定形係或多晶矽,中間硬光罩層 包含氮化矽,間隙壁層 41 2包含氧化矽,並且使用 與線 使第 的原 一間 壁罩 對第 在一 對單 牲罩 對於 根據 414 頂部 氮化 含無 漿進 實施 罩層 石夕, 製程 一犧 405 c4f8 19 200908092 氣體產生之電漿進行乾蝕刻製程而蝕刻成第一間 4 1 4。在再一實施例中,第一犧牲罩幕4 1 0頂部包 矽或多晶矽,中間硬光罩層4 0 5包含氧化矽,間1¾ . 包含氮化矽,並且使用ch2f2氣體產生之電漿進 製程而蝕刻成第一間隙壁罩幕4 1 4。在另一實施 一犧牲罩幕4 1 0頂部包含氧化矽,中間硬光罩層 無定形矽或多晶矽,間隙壁層4 1 2包含氮化矽, CHF3與CH2F2組合氣體產生之電漿進行乾蝕刻 C : 刻成第一間隙壁罩幕4 14。在又一實施例中,第 幕4 1 0頂部包含氮化矽,中間硬光罩層4 0 5包含 或多晶矽,間隙壁層412包含氧化矽,並且使用 體產生之電漿進行乾蝕刻製程而蝕刻成第一間 414。在本發明一特定實施例中,一旦露出第一 410和中間硬光罩層405的頂表面,用於蝕刻第 罩幕4 1 4的蝕刻製程即達終點。在一特定實施例 到終點後,略為過度蝕刻,以確保第一犧牲罩幕 ^ ) 徵至特徵(如線至線)之間的第一間隙壁罩幕414 連續。 參照流程3 0 0之步驟3 0 8和對應的第4 E圖 一犧牲罩幕4 1 0。故根據本發明一實施例,第一 • 4 1 0用來定義第一間隙壁罩幕4 1 4的間距和位置 . 移除,只留下頻率為第一犧牲罩幕410兩倍的第 罩幕414。 可利用任何對第一間隙壁罩幕4 1 4和中間 隙壁罩幕 含無定形 :壁層412 行乾#刻 例中,第 405包含 並且使用 製程而姓 一犧牲罩 無定形矽 chf3 氣 隙壁罩幕 犧牲罩幕 一間隙壁 中,偵側 4 1 0之特 的線條不 ,移除第 犧牲罩幕 ,接著被 一間隙壁 硬光罩層 20 200908092 405具有愚度選擇性的技術來移除第一犧牲罩幕41〇。 本發明一實施例,第一犧牲罩幕4 1 〇由單層所組成, 以單一處理步驟相對第一間隙壁罩幕414具有選擇性 除第一犧牲罩幕4 1 0。在一實施例中,第一間隙壁罩幕 包含無定形矽或多晶矽,中間硬光罩層4〇5包含氧化 第一犧牲罩幕410貫質上由氮化石夕組成,並以選自於 填酸(HsPO4)濕蝕刻或SiCoNi蝕刻構成之群組中的單 刻步驟來移除第一犧牲罩幕4 1 〇。在另一實施例中, 間隙壁罩幕414包含無定形或多晶石夕,中間硬光罩層 包含氮化矽,第一犧牲罩幕410實質上由氧化矽組成 以選自於由氫氟酸水溶液濕蝕刻或siCoNi蝕刻構成 組中的單一姓刻步雜來移除第一犧牲罩幕410。在又 施例中,第一間隙壁罩幕4 14包含氧化矽,中間硬光 405包含氮化矽,第一犧牲罩幕41〇實質上由無定形 多晶矽組成,並以選自於由eh電漿蝕刻和CF4/02電 刻構成之群組中的單一蝕刻步驟來移除第一犧牲 4 1 0。在再一實施例中,第一間隙壁罩幕4丨4包含氮化 中間硬光罩層405包含氧化矽,第一犧牲罩幕410實 由無定形矽或多晶矽組成,並以選自於由Cl2電漿蝕 CF4/〇2電漿蝕刻構成之群組中的單一蝕刻步驟來移 一犧牲罩幕410。在另一實施例中,第一間隙壁罩幕 包含氮化矽,中間硬光罩層405包含無定形矽或多晶 第犧牲罩幕410實質上由氧化石夕組成,並以選自於 氟酸水溶液濕蝕刻或S i C oN i蝕刻構成之群組的單— 根據 並且 地移 414 ψ , 由熱 一蝕 第一 405 ,並 之群 —實 罩層 矽或 漿蝕 罩幕 矽, 質上 刻和 除第 414 矽, 由氫 蝕刻 21 200908092 步称來移除第一犧牲…1〇。在又-實施例中,第一門
隙壁罩…包含氧切,中間硬光罩層4。5包含無J κ多晶_ ’第-犧牲罩幕41Q實f上由氮切组成 以選自於由細濕姓刻或Sic〇Ni姓刻構成之群組中的 单一姓刻步驟來移除第一犧牲罩幕41〇。 在另一實施例中,如同第4B圖實施例所述,第 牲罩幕41〇包含犧牲硬光罩部分位於犧牲罩幕部分 如,在-實施例中,組成犧牲硬光罩部分的材料選自於由 氣化矽、1化矽和無定形矽或多晶石夕構成之群板中 罩幕部分則由無定形碳材料所组成1如 侧之敘述中所描述的無定形碳材料。故根據本發明= 施例’上述用來相對第-間隙壁罩幕414和中門光 405選擇性移除第一犧牲罩 4硬先罩層 —免 綦 之材料組合物和蝕刻盤 程,同樣可用來相對第—間隙壁罩 楊選擇性移除犧牲硬光罩部分。 ^中間硬光罩層 光罩部分底下的犧牲罩幕部分,冑 皁幕之犧牲硬 硬光罩部分的相同姓刻步驟中移除 用來移除犧牲 刻步驟移除犧牲罩幕部分。卜實施例中’:利用第二触 由無定形破组成’並以電漿:牲罩幕:: 於由02與N2組合物、或CH4、N^〇 ;電漿含有選自 組中的氣體。 興02組合物構成之群 參照流程3 00之步驟3丨〇和對應 間隙壁罩幕414之映像轉移到中間硬$ F圖’將第一 圈案化的中間硬光罩層4Q7。 4G5,以^成 罔所不,係相對第二 22 200908092 罩幕堆疊結構4 0 6具有選擇性地形成圖案化中間硬光罩層 407 °
如第4F圖所示,第二罩幕堆疊40 6包含第二硬光罩 層406Α位於第二罩幕層406Β上。第二硬光罩層406Α具 有可適當保護第二罩幕層4 0 6Β使其免受形成圖案化中間 硬光罩層407之蝕刻製程影響的性質。根據本發明一實施 例,中間硬光罩層4 0 5由單一材料組成,且對第一間隙壁 罩幕4 1 4和第二硬光罩層406Α具有選擇性地蝕刻該中間 硬光罩層405。在一實施例中,中間硬光罩層405包含氮 化矽,第一間隙壁罩幕 414包含氧化矽,第二硬光罩層 406Α包含無定形或多晶矽。在另一實施例中,中間硬光罩 層40 5包含氮化矽,第一間隙壁罩幕414包含無定形或多 晶矽,第二硬光罩層4 0 6 Α包含氧化矽。在又一實施例中, 中間硬光罩層4 0 5包含氧化矽,第一間隙壁罩幕414包含 氮化矽,第二硬光罩層406A包含無定形矽或多晶矽。在 再一實施例中,中間硬光罩層405包含氧化矽,第一間隙 壁罩幕414包含無定形或多晶矽,第二硬光罩層406A包 含氮化矽。在另一實施例中,中間硬光罩層4 0 5包含無定 形或多晶矽,第一間隙壁罩幕4 14包含氮化矽,第二硬光 罩層406A包含氧化矽。在又一實施例中,中間硬光罩層 4 0 5包含無定形或多晶矽,第一間隙壁罩幕4 1 4包含氧化 矽,第二硬光罩層4 0 6 A包含氮化矽。在一特定實施例中, 組成中間硬光罩層4 0 5的材料和用來蝕刻之的對應蝕刻製 程係與上述第4B圖中用來圖案化該第一硬光罩層404 A的 23 200908092 材料和使用的蝕刻組合物相同。第二硬光罩層 4 0 6 A的厚 度夠薄,而足以隨後相對第二罩幕層 406B進行高度選擇 性蝕刻,且厚度又要夠厚,以免形成針孔而不當地露出第 二罩幕層4 0 6 B使其受到蝕刻中間硬光罩層4 0 5的蝕刻製 程影響。在一實施例中,第二硬光罩層406A的厚度為15 至 40nm °
參照流程3 0 0之步驟3 1 2和對應的第4 G圖(截面圖) 和第4 G ’圖(俯視圖),移除第一間隙壁罩幕4 1 4,只留下已 圖案化中間硬光罩層4 0 7於第二罩幕堆疊結構4 0 6上。該 圖案化中間硬光罩層 407包含第一間隙壁罩幕414之映 像,因而包含一組線條,其頻率為第一犧牲罩幕410之線 條頻率的兩倍,如第 4 G ’圖俯視圖所示。移除第一間隙壁 罩幕4 14,以形成第二間隙壁罩幕,其與轉移至中間硬光 罩層405之第一間隙壁罩幕414的映像不平行。根據本發 明一實施例,第一間隙壁罩幕4 1 4的厚度太大以致無法形 成位於上方的第二間隙壁罩幕。如此,將第一間隙壁罩幕 4 1 4之映像轉移到厚度實質小於第一間隙壁罩幕4 1 4的中 間硬光罩層405。第二間隙壁罩幕接著以自我對準柱狀罩 幕製造方式形成在該圖案化中間硬光罩層407上。可利用 上述第4D圖中任何用來圖案化間隙壁層4 1 2的適當蝕刻 製程來相對該圖案化中間硬光罩層407而選擇移除第一間 隙壁罩幕4 1 4。 參照流程3 00之步驟3 1 4和對應的第4H圖,沉積第 三罩幕堆疊430於該圖案化中間硬光罩層407上。圖案化 24 200908092 光阻層 狀圖案 根據本 . 圖案化 圖所示 光罩層 構430 , 該些材 〇 4 0 2 和: 參 案化中 分具有 犧牲罩 之線條 實施例 於該圖 ^ 成上述 二犧牲 參 442共 ' 407 上 . 法中之 任何如 再 432形成在第三罩農祕矗 举隹營43〇上。此為自我對準柱 化方法中用來形成笛_ η 成第一間隙壁罩幕的第一步驟。故 發明一實施例,圖牵彳本π 固莱化先阻層43 2之線條不平行於 中間硬光罩層4〇7之靖你 之線條。在一實施例中,如第4Η ’圖案化光阻層4 3 2夕始> ^ ζ之線條垂直於該圖案化中間硬 4 〇 7之線條。圖宰仆杏 闽茶化先阻層432和第三罩幕堆疊結 可由任何材料或材料组人 t+組〇物所構成且具任何尺寸, 料與尺寸係如同參昭箆4 > “,、弟4八圖所述的圖案化光阻層 I-罩幕堆疊404的材料與尺寸。 照流程3 0 0之步驟7 j 驟3 1 6和對應的第41圖,相對於圖 間硬光罩層407以及笛 叹卑一罩幕層406B對應露出部 選擇性地圖案化該m -罢首 第一罩幕堆疊430,以形成第二 幕440。故根據本發明—會 貫施例’第二犧牲罩幕440 不平行於該圖案化中間 尤罩層4〇7之線條。在一 中’如第41圖所示,第 禾犧牲罩幕440之線條垂直 案化中間硬光罩層407夕始放 〇7之線條。可利用任何用來形 第4B圖之第一犧牧置墓 牲罩幕410的蝕刻製程來形成第 罩幕440 。 照流程3 00之步驟3 ] s 8和對應的第4J圖,間隙壁層 形沉積於第二犧牲罩篡4回也 丰綦440和圖案化中間硬光罩層 。間隙壁層442為县祕w丄、Α , 马最後變成自我對準柱狀圖案化方 第二間隙壁罩幕的材料來源。間隙…42可含由 參照第4C圖所$之間隙壁| 412的材料。 次參照流帛300之步驟3 1 8和對應的第4Κ圖,間 25 200908092 隙壁層4 4 2經蝕刻而成為第二間隙壁罩幕4 4 4, 第二犧牲罩幕440和圖案化中間硬光罩層407的 及第二硬光罩層40 6A未被圖案化中間硬光罩層 的部分。第二間隙壁罩幕444線條與第二犧牲罩 特徵側壁共形。因此如第4K圖所示,每條第二 440的線條具有兩條第二間隙壁罩幕444的線條 任一用來蝕刻上述第4D圖之間隙壁層412的蝕 蝕刻間隙壁層 442。然而根據本發明一實施例, 程必需額外對於第二硬光罩層406A未被該圖案 光罩層407所覆蓋的部分具有選擇性。 參照流程3 0 0之步驟3 2 0和對應的第4 L圖 和第 4L’圖(俯視圖),相對於該圖案化中間硬光 和第二硬光罩層406A未被該圖案化中間硬光罩 蓋的部分具有選擇性地移除第二犧牲罩幕440。 發明一實施例,第二犧牲罩幕440用來定義第二 幕444的間距和位置,接著被移除而只留下頻率 牲罩幕440兩倍的第二間隙壁罩幕444。第二間 444之線條不平行於該圖案化中間硬光罩層407 在一實施例中,如第4L’圖所示,第二間隙壁罩. 線條垂直於該圖案化中間硬光罩層4 0 7之線條。 何用來移除上述第4E圖之第一間隙壁罩幕414 程來移除第二間隙壁罩幕444。然根據本發明一 移除製程必需額外對於第二硬光罩層406A未被 中間硬光罩層407覆蓋的部分具有選擇性。 以暴露出 頂表面以 407覆蓋 幕440之 犧牲罩幕 。可使用 刻製程來 該蝕刻製 化中間硬 (截面圖) 罩層 407 層407覆 故根據本 間隙壁罩 為第二犧 隙壁罩幕 之線條。 幕444之 可使用任 的蝕刻製 實施例, 該圖案化 26 200908092 參照流程3 0 0之步驟3 2 2和對應的第4 Μ圖(載面圖) 和第4Μ’圖(俯視圖),將第二間隙壁罩幕444之映像轉移 到該圖案化中間硬光罩層407而形成柱狀硬光罩409。如 第4Μ及4Μ’圖所示,相對於第二罩幕堆疊結構406具有 選擇性地形成柱狀硬光罩409。可利用上述第4F圖中任何 用來將中間硬光罩層405變成圖案化中間硬光罩層407的 蝕刻製程來蝕刻該圖案化中間硬光罩層 4 0 7,而形成柱狀 硬光罩409。
參照第4Ν圖(截面圖)和第4Ν’圖(俯視圖),移除第二 間隙壁罩幕444,只留下柱狀硬光罩409於第二罩幕堆疊 結構406之上。可使用上述任何用來移除第4G圖之第一 間隙壁罩幕4 1 4的製程來移除第二間隙壁罩幕444。柱狀 硬光罩409包含因第一間隙壁罩幕414不平行地覆蓋第二 間隙壁罩幕4 4 4所造成的映像,因而包含一組柱狀物。在 一實施例中,如第4Ν’圖所示,柱狀硬光罩409包含因第 一間隙壁罩幕4 1 4與第二間隙壁罩幕444呈垂直之覆蓋方 式所造成的映像,因而包含一組方柱。此柱狀物密度是利 用第一犧牲罩幕410與第二犧牲罩幕44 0所達成之密度的 四倍。 參照流程3 0 0之步驟3 2 4和對應的第4 0圖(載面圖) 和第40’圖(轉向圖),將柱狀硬光罩409之映像轉移到第 二罩幕堆疊結構406而於半導體層 40 8上形成蝕刻罩幕 470。在一實施例中,第二罩幕堆疊406實質上由單一材料 組成,並以單一蝕刻步驟來蝕刻之而形成該蝕刻罩幕 27 200908092 4 7 0。jfe — 寺疋實施例中,第二罩幕堆疊406實質含 於由氮化$、氧化矽和無定形或多晶矽構成之群選自 -材料。在另一實施例中’如同帛4B圖所述,第―的單 堆養4G6包含第二硬光罩層406A於第二罩幕層4^罩幕 故在-實施例中’如第40 A 4〇,圖所示,蝕刻 上。 包含硬光罩部分470A和罩幕部分47〇B。 470
組成第二硬光罩層406A和硬光罩部分47〇a的 厚度的實施例已配合第4B 說明於上。根據本發明:與 施例’利用與最終用來形成罩幕部分47〇B之圖案化:實 不同的蝕刻步驟,將柱狀硬光罩4〇9之映像轉移到第:驟 光罩層4〇6A。在一實施例中’第二硬光罩層4〇6八實;硬 由無定形或多晶矽組成,並且使肖chf3氣體進行乾蝕: 製程而蝕刻成硬光罩部分470A。在另一實施例中,J二刻 光罩層406A實質上由氧化石夕級成,並且使用選自^
ChF2、和Ch與HBr氣體組合物所構成之群組中的氣體 來進行乾蝕刻製程而蝕刻成硬光罩部分47〇Α。在又_ —η施 例中’第二硬光罩層406Α實質上由氮化矽組成,並且使 用選自於由C^F8、CU和HBr所構成之群組中的氣體進行 乾蝕刻製程而蝕刻成硬先罩部分Ο"。 根據本發明一實施例 狀硬光罩409之映像從硬 470B。第二罩幕層406B和 可含任何實質上禁得起後 蝕刻製程作用的材料。在
接著利用第二蝕刻步驟,將柱 光畢部分470A轉移至罩幕部分 〆麵刻罩幕470的罩幕部分470B 續用來圖案化該半導體層408之 實施例中,第二罩幕層406B 28
200908092 包含無定形碳材料,例如參照第一罩幕層 404B 無定形碳材料。在一特定實施例中,第二罩幕層 蝕刻罩幕470之罩幕部分470B的厚度是該蝕刻 之各柱狀物寬度的3.125至6.875倍。可使用任 刻罩幕4 7 0之各線條維持實質垂直輪廓的蝕刻製 罩幕層406B蝕刻成罩幕部分470B,如第40及 示。在一實施例中,第二罩幕層406B包含無定 以電漿乾蝕刻製程移除之,該電漿係由選自於由 組合物、或CH4、N2與02組合物構成之群組中 形成。 在此已描述使用二個間隙壁罩幕來製造蝕刻 的方法。蝕刻罩幕470接著可用來圖案化一例如 積體電路元件的半導體層408。根據本發明一實 刻罩幕4 7 0具有實質上由無定形碳材料組成的 470B。進行蝕刻製程以圖案化半導體層408時, 無定形碳材料,故在半導體層4 0 8的整個蝕刻過 保持其映像(i m a g e)和尺寸。雖然柱狀硬光罩4 0 9 圖案化該半導體層408的預定尺寸,但柱狀硬光 材料可能不適合承受將映像精確轉移到半導體層 也就是其在蝕刻過程中可能會裂化。故根據本發 例,柱狀硬光罩之映像在轉移至半導體層之前, 含有無定形碳材料的膜層,如第4N及40圖所示 在一實施例中,一保護硬光罩層(即第二硬光罩層 於柱狀硬光罩與無定形碳層之間,以於製造柱! 所敘述的 4 0 6 B 和 罩幕470 何可使钱 程將第二 4 Ο ’圖所 形碳,並 Ο 2 與 N2 的氣體所 罩幕470 用來製造 施例,# 罩幕部分 會鈍化該 程中,能 具有用來 罩409的 的過程, 明一實施 先轉移到 。另外, 406A)設 狀硬光罩 29 200908092 時,保護該無定形碳層。 半導體層408可為任何用於製作元件的膜層,或為任 何製造時需使用柱狀罩幕的其他半導體結構。例如,根據 本發明一實施例,半導體層4 0 8包含任何適合圖案化成清 楚定義之半導體結構陣列的材料。在一實施例中,半導體 層408由IV族材料或III-V族材料組成。此外,半導體層 408可含任何形態以進行適當圖案化而成為清楚定義之半 導體結構陣列。在一實施例中,半導體層4 0 8的形態係選 自於由無定形、單晶和多晶構成之群組中。在一實施例中, 半導體層408包含電荷載體摻雜劑原子。半導體層408更 可設於一基材上。該基材可含任何適合承受製造製程的材 料。在一實施例中,該基材包含彈性塑膠片。該基材更可 包含適合承受製造製程且供半導體層配置其上的材料。在 一實施例中,該基材包含第IV族材料,例如結晶矽、鍺 或矽/鍺。在另一實施例中,基材包含第III至V族材料。 基材還可包含絕緣層。在一實施例中,絕緣層含有選自於 由氧化矽、氮化矽、氮氧化矽和高介電係數(k)介電層構成 之群組中的材料。 本發明不限於形成具方形柱狀物的柱狀罩幕。第5A-C 圖為根據本發明一實施例使用二個間隙壁罩幕以非直角式 自我對準柱狀圖案化方法之一連串步驟的俯視圖和角度視 圖。 參照第5 A圖,將第一間隙壁罩幕之映像轉移到中間 硬光罩層,而形成一圖案化中間硬光罩層507。故第5A圖 30 200908092 對應於第4 G,圖。參照第5 B圖,將第二間隙壁罩幕 轉移到該圖案化中間硬光罩層 507而形成柱狀 509。故第5B圖對應於第4N’圖。然根據本發明另 例,第二間隙壁罩幕不垂直於第一間隙壁罩幕。在 例中,第二間隙壁罩幕與第一間隙壁罩幕夾有角石 中。在一特定實施例中,第二間隙壁罩幕 間隙壁罩幕夾有角度Θ,其中45G<e<90Q。因此如第 所示,柱狀硬光罩509包含一組角度呈Θ的菱形狀相 此柱狀物的密度是利用第一犧牲罩幕410與第二犧 440所達成之密度的四倍。參照第5C圖,將具菱形 物的硬光罩509映像轉移到第二罩幕堆疊506,以 體層508上形成蝕刻罩幕570。 在此已揭露製造半導體罩幕的方法。在一實施 先將第一間隙壁罩幕的一組線條映像提供至罩幕層 一圖案化罩幕層。接著將第二間隙壁罩幕的一組線 提供至該圖案化罩幕層而形成由柱狀物組成的柱狀 第二間隙壁罩幕之線條映像不平行於第一間隙壁罩 條。在一實施例中,第二間隙壁罩幕的線條映像垂 一間隙壁罩幕的線條。故柱狀罩幕的柱狀物具有 狀。在另一實施例中,第二間隙壁罩幕的線條映像 間隙壁罩幕的線條組夾有角度Θ,其中45^0(90°。 罩幕的柱狀物呈菱形形狀。 【圖式簡單說明】 之映像 硬光罩 一實施 一實施 I Θ,其 與第一 :5B圖 l狀物。 牲罩幕 狀柱狀 於半導 例中, 而形成 條映像 罩幕。 幕的線 直於第 方形形 與第一 故柱狀 31 200908092 第1 Α-C圖為顯示根據先前技術之傳統半導體微影製 程的截面圖。 第2A-B圖綠示根據本發明一實施例,使用多重間隙 壁罩幕形成的柱狀罩幕截面。 第3圖為根據本發明一實施例的流程圖,繪示使用二 個間隙壁罩幕之自我對準柱狀圖案化方法的一連串步驟。 第4A-θ’圖為根據本發明一實施例,將第3圖流程的 一連串步驟應用到半導體堆疊後的截面圖和俯視圖。 第5 A-C圖為顯示根據本發明一實施例,使用二個間 隙壁罩幕的非直角式自我對準柱狀圖案化方法中之一連串 步驟的俯視圖和角度視圖, 【主要元件符號說明】 102 堆疊結構 104、108、110 光阻層 106 罩幕 208 半導體層 270A、270B 罩幕 300 流程 302、 304、 306、 308、 310、 312、 314、 316、 318、 320、 322 ' 324 步驟 400 堆疊結構 402、432 光阻層 404、406、430、506 罩幕堆疊結構 404A、405、406A、407、507 硬光罩層 404B、406B 罩幕層 408、5 08 半導體層 409、509 硬光罩 410、440 罩幕 410A ' 470A 硬光罩部分 410B、470B 罩幕部分 32 200908092 444 間隙壁罩幕 412、442 間隙壁層 414 470、570 蝕刻罩幕
33
Claims (1)
- 200908092 十、申請專利範圍: 1. 一種製造半導體罩幕的方法,其至少包含: 提供一第一間隙壁罩幕之一組線條的映像至一罩 疊,以形成一圖案化罩幕堆疊;以及 提供一第二間隙壁罩幕之一組線條的映像至該圖 罩幕堆疊,以形成由一組柱狀物所組成的一柱狀罩幕 中該第二間隙壁罩幕之該組線條的映像不平行於該第 隙壁罩幕之該組線條的映像。 2. 如申請專利範圍第1項所述之方法,其中該第二間 罩幕之該組線條的映像垂直於該第一間隙壁罩幕之該 條的映像,其中該柱狀罩幕的各個柱狀物具有方形形 3 .如申請專利範圍第1項所述之方法,其中該第二間 罩幕之該組線條的映像與該第一間隙壁罩幕之該組線 映像夾有一角度Θ,其中45G<e<90G,且該柱狀罩幕的 狀物具有菱形形狀。 4. 如申請專利範圍第1項所述之方法,其中該罩幕堆 含一層無定形碳膜。 5. —種製造半導體罩幕的方法,其至少包含: 提供一半導體結構,其具有一第一犧牲罩幕,該第 幕堆 案化 ,其 一間 隙壁 組線 R。 隙壁 條的 各柱 疊包 一犧 34 200908092 牲罩幕包含在一罩幕堆疊上的一第一組線條; 形成一第一間隙壁罩幕,其具有多個間隙壁線條鄰接該 第一犧牲罩幕之該第一組線條的側壁; , 移除該第一犧牲罩幕;且接著 提供該第一間隙壁罩幕之該些間隙壁線條的映像至該 罩幕堆疊,而形成一圖案化罩幕堆疊; 形成一第二犧牲罩幕,其包括一第二組線條在該圖案化 、 罩幕堆疊上; 形成一第二間隙壁罩幕,其具有多個間隙壁線條鄰接該 第二犧牲罩幕之該第二組線條的侧壁,其中該第二間隙壁 罩幕的該些間隙壁線條不平行於該圖案化罩幕堆疊中該第 一間隙壁罩幕之該些間隙壁線條的映像; 移除該第二犧牲罩幕;以及 接著提供該第二間隙壁罩幕之該些間隙壁線條的映像 至該圖案化罩幕堆疊,以形成由一組柱狀物所組成的一柱 後'S 狀罩幕堆疊》 6.如申請專利範圍第5項所述之方法,其中該第二間隙壁 罩幕的該些間隙壁線條與該第一間隙壁罩幕的該些間隙壁 線條之映像垂直,其中該柱狀罩幕堆疊的各柱狀物具有方 ' 形形狀。 7 ·如申請專利範圍第5項所述之方法,其中該第二間隙壁 35 200908092 罩幕的該些間隙壁線條與該圖案化罩幕層中該第一間隙壁 罩幕之該些間隙壁線條的映像夾有一角度θ,其中 45Q<e<90Q,且該柱狀罩幕堆疊的各柱狀物具有菱形形狀。 8. 如申請專利範圍第5項所述之方法,其中該第一間隙壁 罩幕的該些間隙壁線條之頻率為該第一犧牲罩幕之該第一 組線條之頻率的兩倍。 9. 如申請專利範圍第8項所述之方法,其中該第一犧牲罩 幕之該第一組線條的線距約為4。 1 0 ·如申請專利範圍第9項所述之方法,其中該第二間隙 壁罩幕的該些間隙壁線條之頻率為該第二犧牲罩幕之該第 二組線條之頻率的兩倍。 11.如申請專利範圍第1 〇項所述之方法,其中該第二犧牲 罩幕之該第二組線條的線距約為4。 1 2.如申請專利範圍第5項所述之方法,其中該罩幕堆疊 包含一層無定形碳膜。 13. —種製造半導體罩幕的方法,其至少包含: 提供一半導體結構,其具有一第一犧牲罩幕,該第一犧 36 200908092 牲罩幕包含一第一組線條在一罩幕堆疊上; 沉積一第一間隙壁層於該半導體結構上,並且與該第一 犧牲罩幕共形; 蝕刻該第一間隙壁層,以形成一第一間隙壁罩幕,該第 一間隙壁罩幕具有多個間隙壁線條鄰接該第一犧牲罩幕之 該第一組線條的側壁; 移除該第一犧牲罩幕;且接著 提供該第一間隙壁罩幕之該些間隙壁線條的映像至該 罩幕堆疊,而形成一圖案化罩幕堆疊; 形成一第二犧牲罩幕,其包括一第二組線條於該圖案化 罩幕堆疊上; 沉積一第二間隙壁層於該圖案化罩幕堆疊上,並且與該 第二犧牲罩幕共形; 蝕刻該第二間隙壁層,以提供一第二間隙壁罩幕,該第 二間隙壁罩幕具有多個間隙壁線條鄰接該第二犧牲罩幕之 該第二組線條的側壁,其中該第二間隙壁罩幕的該些間隙 壁線條不平行於該圖案化罩幕堆疊中該第一間隙壁罩幕之 該些間隙壁線條的映像; 移除該第二犧牲罩幕;以及 接著提供該第二間隙壁罩幕之該些間隙壁線條的映像 至該圖案化罩幕堆疊,以形成由一組柱狀物所組成的一柱 狀罩幕堆疊。 37 200908092 1 4 ·如申請專利範圍第1 3項所述之方法,其中 壁罩幕的該些間隙壁線條垂直於該圖案化罩幕 一間隙壁罩幕之該些間隙壁線條的映像,其中 堆疊的各柱狀物具有方形形狀。 1 5 ·如申請專利範圍第1 3項所述之方法,其中 壁罩幕的該些間隙壁線條與該圖案化罩幕堆疊 隙壁罩幕之該些間隙壁線條的映像夾有一角度 4 5〇<θ<9 00,且該柱狀罩幕堆疊的各柱狀物具赛 1 6.如申請專利範圍第1 3項所述之方法,其中 壁罩幕的該些間隙壁線條之頻率為該第一犧牲 一組線條之頻率的兩倍。 該第二間隙 堆疊中該第 該柱狀罩幕 該第二間隙 中該第一間 Θ,其中 「菱形形狀。 該第一間隙 罩幕之該第1 7.如申請專利範圍第1 6項所述之方法,其中 罩幕之該第一組線條的線距約為4。 該第一犧牲 1 8.如申請專利範圍第1 7項所述之方法,其中 壁罩幕的該些間隙壁線條之頻率為該第二犧牲 二組線條之頻率的兩倍。 1 9 ·如申請專利範圍第1 8項所述之方法,其中 罩幕之該第二組線條的線距約為4。 該第二間隙 罩幕之該第 該第二犧牲 38 200908092 20 ·如申請專利範圍第1 3項所述之方法,其中該罩幕堆疊 包含一層無定形碳膜。 1 39
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US93263507P | 2007-06-01 | 2007-06-01 | |
US12/119,836 US20090017631A1 (en) | 2007-06-01 | 2008-05-13 | Self-aligned pillar patterning using multiple spacer masks |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200908092A true TW200908092A (en) | 2009-02-16 |
TWI505323B TWI505323B (zh) | 2015-10-21 |
Family
ID=40093992
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW097120234A TWI505323B (zh) | 2007-06-01 | 2008-05-30 | 使用多重間隙壁罩幕的自我對準柱狀圖案化方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20090017631A1 (zh) |
TW (1) | TWI505323B (zh) |
WO (1) | WO2008150430A1 (zh) |
Families Citing this family (343)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7807578B2 (en) * | 2007-06-01 | 2010-10-05 | Applied Materials, Inc. | Frequency doubling using spacer mask |
US8080443B2 (en) | 2008-10-27 | 2011-12-20 | Sandisk 3D Llc | Method of making pillars using photoresist spacer mask |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8114765B2 (en) | 2008-12-31 | 2012-02-14 | Sandisk 3D Llc | Methods for increased array feature density |
US8084347B2 (en) | 2008-12-31 | 2011-12-27 | Sandisk 3D Llc | Resist feature and removable spacer pitch doubling patterning method for pillar structures |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP2011249585A (ja) * | 2010-05-27 | 2011-12-08 | Elpida Memory Inc | 半導体装置の製造方法 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
KR102015568B1 (ko) | 2012-08-27 | 2019-08-28 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
KR20140029050A (ko) | 2012-08-31 | 2014-03-10 | 삼성전자주식회사 | 패턴 형성 방법 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10838309B2 (en) | 2015-02-23 | 2020-11-17 | Asml Netherlands B.V. | Device manufacturing method and patterning devices for use in device manufacturing method |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR102325201B1 (ko) * | 2015-04-22 | 2021-11-11 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) * | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
TWI729457B (zh) | 2016-06-14 | 2021-06-01 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
TWI719262B (zh) | 2016-11-03 | 2021-02-21 | 美商應用材料股份有限公司 | 用於圖案化之薄膜的沉積與處理 |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10319591B2 (en) | 2016-11-08 | 2019-06-11 | Applied Materials, Inc. | Geometric control of bottom-up pillars for patterning applications |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
WO2018156710A1 (en) | 2017-02-22 | 2018-08-30 | Applied Materials, Inc. | Critical dimension control for self-aligned contact patterning |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10636659B2 (en) | 2017-04-25 | 2020-04-28 | Applied Materials, Inc. | Selective deposition for simplified process flow of pillar formation |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10840186B2 (en) | 2017-06-10 | 2020-11-17 | Applied Materials, Inc. | Methods of forming self-aligned vias and air gaps |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
TW201906035A (zh) | 2017-06-24 | 2019-02-01 | 美商微材料有限責任公司 | 生產完全自我對準的介層窗及觸點之方法 |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10510602B2 (en) | 2017-08-31 | 2019-12-17 | Mirocmaterials LLC | Methods of producing self-aligned vias |
WO2019046402A1 (en) | 2017-08-31 | 2019-03-07 | Micromaterials Llc | METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES |
US10600688B2 (en) | 2017-09-06 | 2020-03-24 | Micromaterials Llc | Methods of producing self-aligned vias |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
JP2019106538A (ja) | 2017-12-07 | 2019-06-27 | マイクロマテリアルズ エルエルシー | 制御可能な金属およびバリアライナー凹部のための方法 |
EP3499557A1 (en) | 2017-12-15 | 2019-06-19 | Micromaterials LLC | Selectively etched self-aligned via processes |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
TW201939628A (zh) | 2018-03-02 | 2019-10-01 | 美商微材料有限責任公司 | 移除金屬氧化物的方法 |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202002219A (zh) | 2018-05-08 | 2020-01-01 | 美商微材料有限責任公司 | 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
TW202011547A (zh) | 2018-05-16 | 2020-03-16 | 美商微材料有限責任公司 | 用於產生完全自對準的通孔的方法 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10699953B2 (en) | 2018-06-08 | 2020-06-30 | Micromaterials Llc | Method for creating a fully self-aligned via |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
TWI679690B (zh) * | 2018-10-31 | 2019-12-11 | 力晶積成電子製造股份有限公司 | 半導體元件的製造方法 |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11164938B2 (en) | 2019-03-26 | 2021-11-02 | Micromaterials Llc | DRAM capacitor module |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5328810A (en) * | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
DE19526011C1 (de) * | 1995-07-17 | 1996-11-28 | Siemens Ag | Verfahren zur Herstellung von sublithographischen Ätzmasken |
US6924191B2 (en) * | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
US7115525B2 (en) * | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US7429536B2 (en) * | 2005-05-23 | 2008-09-30 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
TWI292031B (en) * | 2006-02-10 | 2008-01-01 | Ind Tech Res Inst | Dimension measuring method and optical measuring system implemented with the method |
-
2008
- 2008-05-13 US US12/119,836 patent/US20090017631A1/en not_active Abandoned
- 2008-05-29 WO PCT/US2008/006820 patent/WO2008150430A1/en active Application Filing
- 2008-05-30 TW TW097120234A patent/TWI505323B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
WO2008150430A1 (en) | 2008-12-11 |
TWI505323B (zh) | 2015-10-21 |
US20090017631A1 (en) | 2009-01-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW200908092A (en) | Self-aligned pillar patterning using multiple spacer masks | |
US11348788B2 (en) | Methods for device fabrication using pitch reduction | |
TWI302635B (en) | Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit | |
TWI267904B (en) | Mask material conversion | |
TWI381424B (zh) | 利用具有插入區之間隔遮罩的三倍頻方法 | |
TWI328251B (en) | Pitch multiplication spacers and nethods of forming the same | |
US7807578B2 (en) | Frequency doubling using spacer mask | |
US8207614B2 (en) | Methods for forming arrays of small, closely spaced features | |
TW200913016A (en) | Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures | |
TW200935496A (en) | Frequency doubling using a photo-resist template mask | |
TW201128689A (en) | Methods of removing a hard mask used for patterning gate stacks | |
TW200832508A (en) | Efficient pitch multiplication process | |
TW201405713A (zh) | 製造用於垂直通道dram的環繞式閘極字元線的方法 | |
JP2006140488A (ja) | ストレージキャパシタの製造方法及びストレージキャパシタ | |
TW201030843A (en) | Method for manufacturing semiconductor device | |
KR102607278B1 (ko) | 반도체 소자의 패턴 형성 방법 | |
KR100818267B1 (ko) | 커패시터, 이를 구비한 반도체 소자 및 그 제조 방법 | |
TWI515832B (zh) | 製造用於垂直通道dram的自對準包埋位元線的方法 | |
US8216939B2 (en) | Methods of forming openings | |
TWI322485B (en) | Method for forming contact hole of semiconductor device | |
JP2006148052A (ja) | 半導体素子の格納電極形成方法 | |
JP7186855B2 (ja) | 半導体装置の製造方法 | |
KR101609251B1 (ko) | 반도체 미세 구조물의 제조 방법 | |
KR100333543B1 (ko) | 반도체소자의게이트전극형성방법 | |
KR100321703B1 (ko) | 반도체 소자의 강유전체 캐패시터 제조방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |