KR20130139737A - 웨이퍼의 장착을 위한 수용 수단 - Google Patents

웨이퍼의 장착을 위한 수용 수단 Download PDF

Info

Publication number
KR20130139737A
KR20130139737A KR1020127009080A KR20127009080A KR20130139737A KR 20130139737 A KR20130139737 A KR 20130139737A KR 1020127009080 A KR1020127009080 A KR 1020127009080A KR 20127009080 A KR20127009080 A KR 20127009080A KR 20130139737 A KR20130139737 A KR 20130139737A
Authority
KR
South Korea
Prior art keywords
wafer
mounting surface
deformation
calibration
receiving
Prior art date
Application number
KR1020127009080A
Other languages
English (en)
Other versions
KR101801409B1 (ko
Inventor
마르쿠스 윔프린거
토마스 바겐레이트너
알렉산더 필베르트
Original Assignee
에베 그룹 에. 탈너 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에베 그룹 에. 탈너 게엠베하 filed Critical 에베 그룹 에. 탈너 게엠베하
Publication of KR20130139737A publication Critical patent/KR20130139737A/ko
Application granted granted Critical
Publication of KR101801409B1 publication Critical patent/KR101801409B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 웨이퍼의 장착 및 수용을 위한 수용 수단에 관한 것으로,
-장착 표면(1o),
-장착 표면(1o) 상에 웨이퍼를 장착하기 위한 장착 수단 및
-웨이퍼의 국부적인 및/또는 전체적인 뒤틀림의 능동, 특히 국부적으로 제어 가능한, 적어도 국부적인 교정을 위한 교정 수단(3, 4, 5, 6)을 포함한다. 게다가, 본 발명은 전술된 수용 수단을 사용하여 제1 웨이퍼와 제2 웨이퍼를 정렬하기 위한 장치 및 방법에 관한 것이다.

Description

웨이퍼의 장착을 위한 수용 수단{RECEIVING MEANS FOR MOUNTING OF WAFERS}
본 발명은 청구항 제1항에 따르는 웨이퍼를 수용 및 장착하기 위한 수용 장치 및 청구항 제1항에 따르는 수용 장치를 사용하여 청구항 제8항 및 제9항에 따라서 제1 웨이퍼와 제2 웨이퍼를 정렬하기 위한 장치 및 방법에 관한 것이다.
이들 수용 수단 또는 샘플 홀더 또는 척은 별개의 유형으로 입수가능하고, 평평한 수용 표면 또는 장착은 수용 수단에 대해 결정적이어서 더 좁아지고 더 작은 구조물이 전체 웨이퍼 표면에 걸쳐서 더 넓어지고 더 넓은 웨이퍼 표면상에 정확히 정렬 및 결합될 수 있다. 이는 실제 결합 공정 이전에 분리가능한 상호연결부에 의해 웨이퍼를 서로 결합하는 소위 사전결합 단계가 수행될 때 특히 중요하다. 서로에 대한 웨이퍼의 고 정렬 정확도는 정렬 정확도 또는 특히 2 μm 미만의 뒤틀림 값이 하나의 웨이퍼 또는 웨이퍼 양자 모두에 대해 구현되자마자 특히 중요하다. 정렬 마크의 주변에서, 지시된 수용 수단 및 소위 정렬기, 특히 결합 정렬기(bond aligner)로 불리는 정렬을 위한 장치에 특히 적합하게 적용된다. 정렬 마크로부터의 거리가 증가됨에 따라, 제어되고 완벽한 정렬이 구현되며, 정렬 정확도 또는 특히 2 μm보다 높고, 특히 1 μm 및 심지어 더 바람직하게는 0.25 μm보다 높은 뒤틀림 값이 구현될 수 없다.
본 발명의 목적은 더욱 정확한 정렬이 이에 따라 구현될 수 있도록 일반적인 수용 수단을 향상시키는 데 있다.
이 목적은 청구항 제1항, 제8항 및 제9항의 특징에 따라 구현된다. 본 발명의 선호되는 이점이 종속항에 제시된다. 명세서, 청구항 및/또는 도면에 제시된 둘 이상의 특징의 모든 조합이 본 발명의 범위 내에 있다. 주어진 값의 범위에서, 제시된 한계점 내의 값들은 또한 경계값으로서 개시되고, 임의의 조합으로 청구될 것이다.
본 발명은 유럽 특허 출원 제EP 09012023호 및 제EP 10 015 569호에 따르는 출원인의 결과물을 기초로 하고, 전자의 출원의 경우, 전체 표면, 특히 웨이퍼의 위치 지도로서 각각의 웨이퍼의 표면상에서 구조물의 위치의 탐지가 가능하다. 후자의 출원은 제1 웨이퍼가 제2 웨이퍼에 결합될 때, 제2 웨이퍼에 대한 제1 웨이퍼의 뒤틀림 및/또는 변형으로 인해 발생되는 국부적인 정렬 오차를 측정하기 위한 장치에 관한 것으로,
-제1 웨이퍼의 제1 접촉 표면을 따르는 변형 값의 제1 변형 지도 및/또는
-제2 접촉 표면을 따르는 변형 값의 제2 변형 지도 및
-국부적인 정렬 오차가 측정될 수 있는 제1 및/또는 제2 변형 지도를 평가하기 위한 평가 수단을 포함한다.
본 발명의 기본적인 사상은 서로 독립적인 몇몇의 능동 제어 요소로 구성되는 수용 수단을 제공하는 것이며, 이에 따라 수용 수단의 장착 표면은 특히 형태 및/또는 온도에 있어서 영향을 받을 수 있다. 여기서, 능동 제어 요소는 위치 지도 및/또는 변형 지도에 의해 알려진 국부적인 정렬 오차 또는 국부적인 뒤틀림이 상쇄되거나 또는 대부분이 최소화 또는 감소되도록 대응하는 활성화에 의해 사용된다. 여기서 국부적인 뒤틀림이 제거될 뿐만 아니라 전체적으로 이의 외측 치수에서 국부적인 뒤틀림으로부터 야기되는 웨이퍼의 육안으로 보이는 뒤틀림 또는 연신이 동시에 최소화 또는 교정된다.
따라서, 본 발명에 청구된 바와 같이, 특히 위치 지도, 변형 지도 및/또는 응력 지도 및 개시된 정렬 오차의 원 위치로의 교정에 관한 전술된 본 발명과 조합하여, 웨이퍼의 접촉-형성 및 결합 동안에 능동, 특히 웨이퍼의 뒤틀림에 대한 국부적인 작용에 의해 여전히 더 우수한 정렬 결과를 구현할 수 있다.
본 발명의 일 선호되는 실시예에 따라서, 장착 표면의 온도는 교정 수단에 국부적으로 영향을 받을 수 있다. 장착 표면의 국부적인 온도 증가에 따라 이 위치에서 장착 표면에 보유되는 웨이퍼의 국부적인 팽창이 야기된다. 온도 구배가 더 커질수록 이 위치에서 웨이퍼는 더욱더 팽창한다. 위치 지도 및/또는 변형 지도의 데이터, 특히 정렬 오차의 벡터 평가를 기준으로, 특히 위치 지도 및/또는 변형 지도의 각각의 위치에 대해, 제어된 방식으로 이들을 상쇄시키거나 또는 국부적인 웨이퍼 뒤틀림에 대해 작용할 수 있다.
이에 따라 벡터 평가는 뒤틀림 벡터를 갖는 벡터장으로서 형성되고, 특히, 이 벡터장은 후술된 본 발명의 2가지의 버전들 중 하나의 버전에 의해 결정된다.
제1 버전은 2개의 웨이퍼 중 단지 하나의 웨이퍼만이 구조화되는 응용에 관한 것이다. 이 경우에, 본 발명에 청구된 바와 같이, 구조물의 편차가 탐지되고, 특히 원하는 형태로부터 기하학적 형태의 편차가 탐지된다. 이 경우에, 통상적으로 직사각형인 정상적으로 예상된 형태로부터 노출장, 특히 스텝 앤드 리피트 노출 장치(step & repeat exposure device)의 노출장의 형태의 편차가 특히 관심이다. 이들 편차, 특히 이들 편차를 나타내는 벡터장은 EP 09012023에 따르는 노출장에 대응되는 개개의 정렬 마크의 위치 지도의 탐지를 기준으로 수행된다. 대안적으로, 이 벡터장은 또한 EP 10 015 569.6에 의해 획득되는 응력 지도 및/또는 변형 지도를 기준으로 결정될 수 있다. 대안적으로, 그러나 이 벡터장은 본 발명에서 청구된 바와 같이 또한 임의의 그 외의 다른 적합한 측정 수단일 수 있으며, 판독될 수 있다. 특히 특정 테스트 마스크 및/또는 특정 테스트 루틴에 따라 이들 데이터를 획득하기 위해 작동되는 스텝 앤드 리피트 리소그래피 시스템(step & repeat lithography system)은 이 특정을 위해 적합할 수 있다.
제2 버전은 2개의 웨이퍼가 구조화되는 응용에 관한 것이다. 이 경우에, 본 발명에서 청구된 바와 같이 정렬 편차의 벡터장은 위치 지도, 특히 EP 09012023에 따르는 제1 및 제2 위치 지도의 모든 위치에 대해 연산된다. 이 벡터장은 특히 EP 10 015 569.6에서의 물질에 따르는 기술적 및/또는 경제적 기준에 따라 이상적인 것으로 고려되는 정렬 위치에 대해 결정되어진다.
본 발명의 또 다른 선호되는 실시예에서, 장착 표면의 변형은 교정 수단, 특히 장착 표면의 일 후방에 개별적으로 작용할 수 있는 압전요소의 배열에 국부적으로 영향을 받을 수 있다. 연신 또는 수축, 이에 따라서 음의 연신에 의해, 또한 웨이퍼는 이에 따라 변형되고, 특히 웨이퍼 상의 장착 표면으로부터 작용하는 장착력에 의해 연신 또는 수축되고, 이에 따라 이 방식으로 웨이퍼는 이 웨이퍼에 대해 결정되는 변형 지도의 값을 기준으로 대응하는 제어 수단에 의해 제어된 방식으로 영향을 받을 수 있다. 장착 표면의 형태가 교정 수단, 특히 하나의 Z 방향으로 바람직하게는 기게적 작용에 의해 국부적으로 영향을 받을 수 있는 정도까지 장착 표면 상에서 웨이퍼의 뒤틀림을 상쇄하기 위한 또 다른 가능성이 있다. 또한 여기서 이는 교정 수단의 제어가 위치 지도 및/또는 변형 지도의 값을 기준으로 교정 수단의 이에 대응하는 국부적인 제어를 수행하는 제어 수단에 의해 구현되는데 적용된다.
특히, 제어 수단은 대응하는 루틴을 실시/연산하기 위한 소프트웨어를 포함한다.
본 발명의 또 다른 선호되는 실시예에 따라서, 장착 표면은 특히 유압식 및/또는 공압식으로 교정 수단에 의해 장착 표면의 후방으로부터의 압력에 국부적으로 노출될 수 있다. 이 방식으로 장착 표면의 형태에 영향을 미칠 수 있어서 전술된 효과가 유발된다. 게다가, 전술된 제어 수단을 이용하여 제어가 구현된다.
바람직하게는, 교정 수단은 특히 장착 표면 내에 일체구성된, 바람직하게는 이 내에 매립된 수용 수단 내의 복수의 능동 제어 요소로서 제공된다. 따라서, 수용 수단의 수용기는 공지된 수용 수단 내에서와 같이 일체형으로 형성될 수 있다.
여기서, 각각의 제어 요소 또는 제어 요소의 그룹이 개별적으로 활성화될 수 있는 것이 특히 선호된다. 따라서, 국부적인 활성화는 작은 적출부(extract), 특히 웨이퍼의 절반보다 더 작은 적출부, 바람직하게는 웨이퍼의 1/4 보다 작은, 바람직하게는 웨이퍼의 1/8보다 작은, 심지어 더 바람직하게는 웨이퍼의 1/16 보다 작은 적출부가 교정 수단에 의해 국부적으로 활성화될 수 있음을 의미한다. 특히, 교정 수단은 적어도 하나의 제어 요소를 포함하는 자체 구조물에 의해 점유된 웨이퍼의 각각의 영역에 작용할 수 있다.
본 발명에 청구된 장치는 바람직하게는 모든 제어 공정에 대해 신뢰성이 있는 중심 제어 유닛 내에서의 전술된 제어 수단을 포함한다. 그러나, 본 발명에서 청구된 바와 같이 특히 전체 장치의 모듈로서 수용 수단 내에 제어 수단을 제공할 수 있다.
본 발명에 청구된 바와 같이, 방법은 정렬 이후 제1 및/또는 제2 웨이퍼의 위치 지도 및/또는 변형 지도의 특히 반복적인 획득에 의해 추가로 향상될 수 있다. 따라서, 본 발명에 청구된 바와 같이 정렬 완료 이후 정렬 성공의 체크가 있을 수 있다. 따라서, 예를 들어, 본 발명에 청구된 바와 같이 이를 정렬하거나 또는 이를 처리하기 위하여 지나치게 큰 정렬 오류에 따른 웨이퍼 쌍을 제거할 필요가 있을 수 있다. 동시에, 수득된 데이터는 특히 제어 수단에 의해 장치의 자가-교정을 위해 사용될 수 있다.
유럽 특허 출원 EP 09012023.9호 및/또는 유럽 특허 출원 EP 10 015 569.6호에 개시된 발명은 본 발명의 실시예와 동시에 개시되는 것으로 고려될 것이다.
본 발명의 그 외의 다른 이점, 특징 및 세부사항은 도면을 이용하여 선호되는 예시적인 실시예의 하기 기술내용으로부터 명확해질 것이다.
도 1a는 제1 실시예에서 본 발명에 청구된 바와 같이 수용 수단의 평면도.
도 1b는 도 1a의 절단선 A-A에 따르는 수용 수단의 단면도.
도 2a는 제2 실시예에서 본 발명에 청구된 바와 같이 수용 수단의 평면도.
도 2b는 도 2a의 절단선 B-B에 따르는 수용 수단의 단면도.
도 3a는 제3 실시예에서 본 발명에 청구된 바와 같이 수용 수단의 평면도.
도 3b는 도 3a의 절단선 C-C에 따르는 수용 수단의 단면도.
도 4a는 제4 실시예에서 본 발명에 청구된 바와 같이 수용 수단의 평면도.
도 4b는 도 4a의 절단선 D-D에 따르는 수용 수단의 단면도.
동일한 부품/특징부 및 동일한 기능을 갖는 부품/특징부는 도면에서 동일한 도면부호로 표시된다.
모두 4가지의 실시예는 평평한, 바람직하게는 원형의 링-형태의 플레이트와 같이, 웨이퍼의 수용 및 장착을 위한 평평한 장착 표면(1o)이 제공되는 일체형 수용기(monolithic receiver, 1)를 예시한다. 수용기는 외측 주연부 상에 링-형태의 숄더(ring-shaped shoulder, 1a)를 갖는다.
장착 표면(1o)은 웨이퍼를 수용하기 위한 수용 평면을 형성하고, 이 평면은 X 및 Y 방향으로 신장된다. 물에 작용하는 장착력이 향하는 Z 방향은 이들에 대해 수직하게 이어진다. 웨이퍼의 장착은 음압을 개구(2)에 인가함으로써 장착 표면상에 웨이퍼를 고정시키기 위해 장착 표면(10)에 걸쳐 균일하게 분포되어 배열되는 개구(2)를 통해 수행된다. 개구(2)의 개수가 더 많아지고 개구(2)의 직경이 더 작아질수록, 웨이퍼를 장착하기 위한 개구(2) 상에 가해지는 음압이 더 작아져서 개구(2) 상에서 웨이퍼의 뒤틀림이 야기된다.
개구(2) 상의 음압은 장착 표면(1o)의 후방 측면에 배치된 내측 공간(1i)에 대해 음압을 인가하고 도시되지 않은 진공 수단에 의해 인가된다. 게다가, 내측 공간(1i)은 수용기(1)의 주변 벽(1w)에 의해 접하고, 주변에 대해 밀봉된다. 개구(2)는 내측 공간(1i)까지 장착 표면(1o)으로부터 연장되고, 따라서, 내측 공간(1i) 내에서의 음압에 대해 균일하게 노출될 수 있다.
게다가, 내측 공간(1i)은 도시되지 않은 내측 공간(1i)의 하부와 장착 표면(1o)에 마주보게 위치된 후방(1r)에 의해 접하고, 후방(1r)은 개구(2)에 의해 침투된다.
후방(1r)에서, 능동 제어 요소는 복수의 가열/냉각 요소, 특히 전적으로는 가열 요소(3)이다. 가열 요소(3)는 개별적으로 또는 무리를 이루어 각각 작동되고, 도시되지 않은 제어 수단에 의해 제어된다. 가열 요소(3) 중 하나의 가열 요소가 가열될 때, 장착 표면(1o)의 국부적인 섹션이 매우 우수한 열 전도체, 특히 수용기의 금속을 갖는 재료에 의해 가열된다. 이에 따라 이 영역에서 장착 표면(1o) 상에 배치된 웨이퍼가 국부적으로 팽창된다. 따라서, 수용 수단상에 정렬되어 보유되는 웨이퍼의 경우, 가능한 뒤틀림/변형의 알려진 위치에 따라 웨이퍼의 변형은 국부적인 뒤틀림을 교정하기 위해 개개의 또는 몇몇의 가열 요소(3)를 스위칭함으로써 제어 방식으로 수행될 수 있다. 특히, 복수의 국부적인 교정의 경우, 이는 또한 특히, X 및/또는 Y 방향으로 웨이퍼의 직경의 변화, 광범위한 뒤틀림의 광범위한 교정을 야기한다.
가열 및/또는 냉각 요소에 의한 웨이퍼 상에서의 뒤틀림에 영향을 미치는 일 특정 이점은 특히, 장착 표면의 변형 없이 및/또는 수직 방향 또는 Z 방향으로 웨이퍼의 변형 없이 최소의 변형으로 이를 구현할 수 있는 가능성에 있다. 이에 따라, 최소의 변형은 5 μm 미만, 선호적으로는 2 μm 미만, 바람직하게는 1 μm 미만 및 심지어 더 바람직하게는 0.5 μm 미만의 지지 표면에 대한 Z 방향 또는 수직 방향으로 장착 표면, 특히 웨이퍼의 변형이도록 고려되어야 한다.
이는 반-데르-발스 결합(van-der-Waals bond)을 기반으로 하는, 예를 들어, 사전결합과 같은 사전결합 상호연결부를 제조하는데 특히 선호된다. 여기서 장착 표면, 특히 웨이퍼가 평평하게 유지될 수 있음에 따라, 이들 사전결합 단계에서 통상적인 결합 웨이브(bond wave)는 비편평함(unevenness)으로 인해 이의 전파에 영향을 미치지 않는다. 따라서, 비결합 부위(소위 공극)가 잔류하는 위험성이 상당히 감소된다. 본 발명에서 청구된 바와 같이, 이들 사전결합 상호연결부를 제조하기 위해, 전체 웨이퍼 표면에 대한 5 μm 미만, 바람직하게는 2 μm 미만, 바람직하게는 1 μm 및 심지어 더욱 바람직하게는 0.5 μm 미만의 장착 표면의 편평함이 선호된다. 이들 편평함 값은 웨이퍼와 접촉하는 장착 표면의 일부분 내의 가장 높은 지점과 가장 낮은 지점 사이의 거리로서 정의된다.
가열 요소(3)는 수용 수단 내에 바람직하게는 장착 표면(1o) 아래에 균일하게 분포된다. 바람직하게는, 10개 초과의 가열 요소(3), 특히 50개 초과의 가열 요소(3), 바람직하게는 100개 초과의 가열 요소(3), 심지어 더 바람직하게는 500개 초과의 가열 요소(3)가 있다. 이들 가열 요소는 웨이퍼 상에서 국부적인 작동이 가능하고 장착 표면에서 개별적으로 작동될 수 있는 영역을 형성한다. 바람직하게는, 장착 표면의 개개의 영역은 적합한 수단을 이용하여 서로 단열된다. 특히, 영역은 개개의 세그먼트의 균일하고 제한된 배열을 허용하는 형태로 형성된다. 바람직하게는, 삼각형, 사각형 또는 6각형과 같은 세그먼트들의 배치가 이 목적에 대해 적합할 수 있다.
특히, 페티에(Peltier) 요소가 가열 요소로서 적합할 수 있다.
도 2a 및 도 2b에 도시된 제2 실시예에서, 가열 요소(3)는 도시되지 않고, 이들 대신에 또는 이와 조합하여 바람직하게는 장착 표면(1o)에 대한 거리보다 후방(1r)에 대해여 더 큰 거리로 장착 표면(1o) 상에 압전요소(4)가 제공된다.
이 방식으로, 장착 표면(1o) 상에서 제어 작동이 가능하다. 압전요소(4)는 작동 시에 나노미터 내지 미크론 범위의 변형을 야기할 수 있다.
압전요소(4)의 개수는 전술된 가열 요소(3)의 개수와 일치될 수 있으며, 두 실시예의 조합이 본 명세서에서 청구된 것으로 인식될 수 있다.
도 3a 및 도 3b에 도시된 본 발명의 제3 실시예에서, 가열 요소(3) 및/또는 압전요소(4) 대신에 또는 이와 조합하여 특히, 뾰족한 핀 단부(5e)를 포함하는, 장착 표면(1o) 상에서 말단을 이루는 핀(5)이 제공된다. 핀(5)의 초기 위치에서, 핀 단부(5e)는 장착 표면(1o)과 동일한 높이이다. 왜곡 지도 또는 변형 지도(strain map)의 정보와 같이 특정 핀(5)의 영역에서 웨이퍼의 국부적인 뒤틀림이 있을 정도로, 제어 수단은 웨이퍼의 방향, Z 방향으로 이동하는 핀 단부(5e) 또는 핀(5)에 의해 개개 또는 몇몇의 핀(5)을 작동시킴으로써 웨이퍼 상에 국부적으로 작용할 수 있다. 따라서, 핀 단부(5e)는 이 지점에서 웨이퍼의 국부적인 부풀림 또는 편향을 위해 제공되는 압축력에 대해 웨이퍼를 국부적으로 노출시킨다. 핀(5)은 후방(1r)까지 장착 표면으로부터 연장되는 가이드 개구(7) 내에서 전체적으로 슬라이드하도록 안내될 수 있다. 대안적으로, 단지 핀 단부(5e)만이 핀(5) 내에서 이동할 수 있으며, 핀(5) 또는 핀의 하측 섹션은 가이드 개구(7)에 대해 고정된다. 이 방식으로, 핀(5) 또는 핀의 특정 밀봉이 내측 공간(1r)에 대해 보장된다.
핀(5)의 개수는 가열 요소(3) 또는 압전요소(4)의 개수와 일치되며, 여기서 하나 이상의 전술된 실시예의 조합이 가능하다.
도 4에 도시된 실시예에서, 수용기(1)는 도 4b에 도시된 이의 상측 벽(6o)과 함께 장착 표면(1o)을 형성하는 복수의 압력 챔버(6)를 갖는다. 압력 챔버(6)는 내측 공간(1i)을 통해 연장되고, 이에 대해 밀봉된다. 각각의 압력 챔버(6) 또는 압력 챔버(6)의 그룹은 개별적으로 압축되고, 전술된 제어 수단에 의해 제어될 수 있다. 압력이 인가될 때, 압력 챔버(6)는 압력을 견디도록 적어도 상측 벽(6o) 상에 형성되며, 이에 따라 압력 챔버(6)의 그 외의 다른 경계 벽보다 더 얇아지고 및/또는 유연해진다. 개구(2)는 내측 공간(1i)에 연결된다.
본 발명에 청구된 바와 같이, 장착 표면(1o)의 최소의 국부적인 편향이 최대 3 μm, 특히, 최대 1 μm, 바람직하게는 최대 100 μm 정도로 전술된 교정 수단(3, 4, 5)에 의해 수행된다.
전술된 하나 이상의 실시예에 따라 국부적인 뒤틀림을 상쇄시키기 위해, 전술된 바와 같이 제어 수단은 어디에, 어느 정도까지, 또는 웨이퍼 내에서의 뒤틀림이 있는 방향을 인지할 필요가 있다. 그 뒤, 단지 제어 작동 또는 뒤틀림의 상쇄 및 교정만이 가능하다. 각각의 웨이퍼의 변형 지도는 EP 10 015 569.6에 따르는 대응하는 측정 수단으로 측정되고, 웨이퍼에 걸쳐서 분포되는 스트레인 벡터의 형태의 정보를 산출한다. 대응하는 제어 데이터는 웨이퍼의 위치 맵에 의해 지시되는 위치에서 웨이퍼의 변형 지도에 따라 각각의 웨이퍼에 대해 개별적인 제어를 수행하기 위하여 제어 유닛 내에 기록되고 특히, 경험적으로 측정된다. 교정은 웨이퍼의 정렬 동안에 이 방식으로 자동적으로 수행될 수 있다. 능동 제어 요소(3, 4, 5, 6)는 도면에 실측으로 도시되지 않고 또한 상이한 크기와 형태를 가질 수 있다.
1 수용기
1a 링-형태의 숄더
1i 내측 공간
1o 장착 표면
1w 주변 벽
2 개구
3 가열/냉각 요소
4 압전 요소
5 핀
5e 핀 단부
6 압력 챔버
6o 상측 벽
7 가이드 개구

Claims (10)

  1. 웨이퍼의 장착 및 수용을 위한 수용 수단으로서,
    -장착 표면(1o),
    -장착 표면(1o) 상에 웨이퍼를 장착하기 위한 장착 수단 및
    -웨이퍼의 국부적인 및/또는 전체적인 뒤틀림의 능동, 특히 국부적으로 제어 가능한, 적어도 국부적인 교정을 위한 교정 수단(3, 4, 5, 6)을 포함하는 수용 수단.
  2. 제1항에 있어서, 장착 표면(1o)의 온도는 교정 수단(3, 4, 5, 6)에 의해 국부적으로 영향을 받을 수 있는 수용 수단.
  3. 제1항 또는 제2항에 있어서, 장착 표면(1o)의 변형은 교정 수단(3, 4, 5, 6), 특히 장착 표면(1o)의 일 후방(1r)에 개별적으로 작용할 수 있는 압전요소(4)의 배열에 국부적으로 영향을 받을 수 있는 수용 수단.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 장착 표면(1o)의 형태는 교정 수단(3, 4, 5, 6), 특히 바람직하게는 Z 방향으로 기계적 작용에 국부적으로 영향을 받을 수 있는 수용 수단.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 장착 표면(1o)은 특히 유압식 및/또는 공압식으로 교정 수단(3, 4, 5, 6)에 의해 장착 표면(1o)의 후방(1r)으로부터의 압력에 국부적으로 노출될 수 있는 수용 수단.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 수용 수단 내에서의 복수의 능동 제어 요소(3, 4, 5, 6)로서 교정 수단(3, 4, 5, 6)은 특히 장착 표면(1o) 내로 일체구성되며, 바람직하게는 매립되는 수용 수단.
  7. 제6항에 있어서, 각각의 제어 요소(3, 4, 5, 6) 또는 제어 요소(3, 4, 5, 6)의 그룹은 개별적으로 작동될 수 있는 수용 수단.
  8. 제1 웨이퍼와 제2 웨이퍼를 정렬하기 위한 장치로서,
    -제1 웨이퍼가 제2 웨이퍼에 결합될 때, 제1 웨이퍼의 제1 변형 지도 및/또는 제2 웨이퍼의 제2 변형 지도와 제1 및 제2 변형 지도의 평가를 위한 평가 수단에 따라 제2 웨이퍼에 대한 제1 웨이퍼의 뒤틀림 및/또는 변형으로 인해 발생되는 국부적인 정렬 오차를 측정하기 위한 수단,
    -하나 이상의 웨이퍼를 수용하기 위해 제1항 내지 제7항 중 어느 한 항에 따르는 하나 이상의 수용 수단 및
    -교정 수단에 의한 동시 교정 및 위치 지도 및/또는 변형 지도를 고려하여 웨이퍼를 정렬하기 위한 정렬 수단을 포함하는 장치.
  9. 제1 웨이퍼와 제2 웨이퍼를 정렬하기 위한 방법으로서,
    -국부적인 정렬 오차의 측정 및 평가 수단에 의한 제1 및 제2 변형 지도의 평가 및 제1 웨이퍼의 제1 변형 지도 및/또는 제2 웨이퍼의 제2 변형 지도를 탐지하는 단계,
    -제1항 내지 제7항 중 어느 한 항에 따라서 수용 수단 상에 하나 이상의 웨이퍼를 수용하는 단계 및
    -교정 수단에 의한 동시 교정 및 위치 지도 및/또는 변형 지도를 고려하여 웨이퍼를 정렬하는 단계를 포함하는 방법.
  10. 제9항에 있어서, 정렬 이후에, 1회의 탐지, 특히 제1 및/또는 제2 웨이퍼의 위치 지도 및/또는 변형 지도의 반복적인 감지가 있는 방법.
KR1020127009080A 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단 KR101801409B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2010/007793 WO2012083978A1 (de) 2010-12-20 2010-12-20 Aufnahmeeinrichtung zur halterung von wafern

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020157003905A Division KR101849443B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020157003904A Division KR101866622B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단

Publications (2)

Publication Number Publication Date
KR20130139737A true KR20130139737A (ko) 2013-12-23
KR101801409B1 KR101801409B1 (ko) 2017-12-20

Family

ID=43982251

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020157003904A KR101866622B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020157003905A KR101849443B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020187004412A KR101866719B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020127009080A KR101801409B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020187015660A KR20180065033A (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020157003904A KR101866622B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020157003905A KR101849443B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단
KR1020187004412A KR101866719B1 (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187015660A KR20180065033A (ko) 2010-12-20 2010-12-20 웨이퍼의 장착을 위한 수용 수단

Country Status (8)

Country Link
US (5) US9312161B2 (ko)
EP (5) EP2863421B1 (ko)
JP (1) JP6279324B2 (ko)
KR (5) KR101866622B1 (ko)
CN (2) CN106887399B (ko)
SG (1) SG187694A1 (ko)
TW (4) TWI680506B (ko)
WO (1) WO2012083978A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170002385A (ko) * 2014-04-30 2017-01-06 에베 그룹 에. 탈너 게엠베하 기판 스택 균등화 방법 및 디바이스
KR20190035429A (ko) * 2017-09-26 2019-04-03 주식회사 야스 포켓을 구비한 기판 이송 장치
KR20190035443A (ko) * 2017-09-26 2019-04-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 동적 지지 핀들을 갖는 웨이퍼 테이블

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101866622B1 (ko) * 2010-12-20 2018-06-11 에베 그룹 에. 탈너 게엠베하 웨이퍼의 장착을 위한 수용 수단
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
USD723239S1 (en) * 2012-08-30 2015-02-24 Entegris, Inc. Wafer carrier ring
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014191033A1 (de) 2013-05-29 2014-12-04 Ev Group E. Thallner Gmbh Vorrichtung und verfahren zum bonden von substraten
US9058974B2 (en) * 2013-06-03 2015-06-16 International Business Machines Corporation Distorting donor wafer to corresponding distortion of host wafer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9059039B2 (en) * 2013-09-06 2015-06-16 International Business Machines Corporation Reducing wafer bonding misalignment by varying thermal treatment prior to bonding
JP6178683B2 (ja) * 2013-09-25 2017-08-09 芝浦メカトロニクス株式会社 吸着ステージ、貼合装置、および貼合方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
USD767234S1 (en) * 2015-03-02 2016-09-20 Entegris, Inc. Wafer support ring
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE202016000967U1 (de) 2016-02-16 2016-03-31 Ev Group E. Thallner Gmbh Aufnahmeeinrichtung zur Halterung von Wafern
SG11201805655VA (en) 2016-02-16 2018-07-30 Ev Group E Thallner Gmbh Method and device for bonding substrates
EP3227907B1 (de) 2016-02-16 2018-05-23 Ev Group E. Thallner GmbH Verfahren zum bonden von substraten
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
EP3433875B1 (de) 2016-03-22 2022-05-04 EV Group E. Thallner GmbH Verfahren zum bonden von substraten
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109496345B (zh) 2016-08-12 2023-07-18 Ev 集团 E·索尔纳有限责任公司 用于经控制地接合衬底的方法和样本支架
KR102386005B1 (ko) 2016-08-29 2022-04-12 에베 그룹 에. 탈너 게엠베하 기질을 정렬하기 위한 방법 및 장치
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102396022B1 (ko) 2017-03-16 2022-05-09 에베 그룹 에. 탈너 게엠베하 적어도 세 개의 기판들을 결합하기 위한 방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6925160B2 (ja) * 2017-05-02 2021-08-25 東京エレクトロン株式会社 接合装置
JP6854696B2 (ja) * 2017-05-02 2021-04-07 東京エレクトロン株式会社 接合装置および接合方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP6426797B2 (ja) * 2017-07-14 2018-11-21 芝浦メカトロニクス株式会社 吸着ステージ、貼合装置、および貼合方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
CN118098996A (zh) * 2017-09-21 2024-05-28 Ev 集团 E·索尔纳有限责任公司 接合基板的装置和方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6884082B2 (ja) * 2017-10-11 2021-06-09 株式会社Screenホールディングス 膜厚測定装置、基板検査装置、膜厚測定方法および基板検査方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11243476B2 (en) 2018-04-26 2022-02-08 Asml Netherlands B.V. Stage apparatus, lithographic apparatus, control unit and method
EP3385792A3 (en) * 2018-04-26 2018-12-26 ASML Netherlands B.V. Stage apparatus for use in a lithographic apparatus
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP7208759B2 (ja) * 2018-10-16 2023-01-19 株式会社ディスコ ウエーハ保持装置を用いたウエーハの加工方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
SG11201911800XA (en) 2019-01-18 2020-08-28 Ev Group E Thallner Gmbh Measuring device and method for determining the course of a bonding wave
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7286493B2 (ja) 2019-09-13 2023-06-05 キオクシア株式会社 基板貼合装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
US20220415675A1 (en) 2019-12-02 2022-12-29 Ev Group E. Thallner Gmbh Apparatus and method for heating a substrate
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023517415A (ja) 2019-12-10 2023-04-26 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 複数の基板の位置合わせをする方法および装置
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20220167376A (ko) 2020-06-29 2022-12-20 에베 그룹 에. 탈너 게엠베하 기판 접합 방법 및 장치
CN115605987A (zh) 2020-06-29 2023-01-13 Ev 集团 E·索尔纳有限责任公司(At) 基底保持器以及用于固定和键合基底的方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022073679A1 (en) * 2020-10-08 2022-04-14 Asml Netherlands B.V. Substrate holder, carrier system comprising a substrate holder and lithographic apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11829077B2 (en) 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116457916A (zh) * 2021-02-01 2023-07-18 Ev 集团 E·索尔纳有限责任公司 基底支架以及制造用于接合的基底支架的方法
US11594431B2 (en) 2021-04-21 2023-02-28 Tokyo Electron Limited Wafer bonding apparatus and methods to reduce post-bond wafer distortion
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117882180A (zh) 2021-11-02 2024-04-12 Ev 集团 E·索尔纳有限责任公司 用于补偿变形的方法及装置
CN116403929A (zh) * 2021-12-28 2023-07-07 拓荆键科(海宁)半导体设备有限公司 调整卡盘形变的系统及装置
JP2023120893A (ja) * 2022-02-18 2023-08-30 キヤノン株式会社 保持装置、リソグラフィ装置、および物品製造方法
WO2024046578A1 (de) 2022-09-02 2024-03-07 Ev Group E. Thallner Gmbh Vakuumsubstrathalter mit optimierter vakuumdichtung

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53121452A (en) 1977-03-31 1978-10-23 Hitachi Ltd Gate control circuit for gate turn off thyristor
JPS5537298U (ko) * 1978-09-04 1980-03-10
JPS5626437A (en) * 1979-08-13 1981-03-14 Chiyou Lsi Gijutsu Kenkyu Kumiai Wafer supporting base
JPS57169244A (en) * 1981-04-13 1982-10-18 Canon Inc Temperature controller for mask and wafer
JPS57204547A (en) * 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
DE3306999A1 (de) * 1982-03-31 1983-10-06 Censor Patent Versuch Einrichtung zum festhalten eines werkstueckes
JPS61102735A (ja) * 1984-10-26 1986-05-21 Hitachi Ltd 基板変形チャック
JPS6328035A (ja) * 1986-07-22 1988-02-05 Nec Corp 縮小投影露光装置
JPH01152639A (ja) * 1987-12-10 1989-06-15 Canon Inc 吸着保持装置
JP2737010B2 (ja) 1989-08-01 1998-04-08 キヤノン株式会社 露光装置
US5094536A (en) * 1990-11-05 1992-03-10 Litel Instruments Deformable wafer chuck
JP3168018B2 (ja) * 1991-03-22 2001-05-21 キヤノン株式会社 基板吸着保持方法
JP3251362B2 (ja) 1993-01-11 2002-01-28 三菱電機株式会社 露光装置及び露光方法
JPH06302550A (ja) 1993-04-13 1994-10-28 Hitachi Ltd 半導体製造装置
US5506793A (en) 1994-01-14 1996-04-09 Gerber Systems Corporation Method and apparatus for distortion compensation in an automatic optical inspection system
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH1015815A (ja) * 1996-07-01 1998-01-20 Canon Inc 基板矯正装置および方法
JPH1076439A (ja) * 1996-08-30 1998-03-24 Sony Corp 薄板保持装置
JPH10256356A (ja) 1997-03-17 1998-09-25 Nikon Corp 位置決め装置及び該装置を備えた露光装置
JP3027551B2 (ja) * 1997-07-03 2000-04-04 キヤノン株式会社 基板保持装置ならびに該基板保持装置を用いた研磨方法および研磨装置
EP1077393A2 (en) * 1999-08-19 2001-02-21 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
KR20010051530A (ko) 1999-11-08 2001-06-25 조셉 제이. 스위니 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
WO2001078108A2 (en) * 2000-04-10 2001-10-18 Motorola, Inc. Wafer chuck having piezoelectric elements and method
JP3991300B2 (ja) 2000-04-28 2007-10-17 株式会社Sumco 張り合わせ誘電体分離ウェーハの製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
JP4411575B2 (ja) 2002-04-25 2010-02-10 セイコーエプソン株式会社 電子装置の製造装置
JP2004158610A (ja) 2002-11-06 2004-06-03 Nikon Corp 露光装置および露光方法
JP2004335855A (ja) 2003-05-09 2004-11-25 Rohm Co Ltd 露光装置及び露光方法
CN1629759A (zh) * 2003-12-19 2005-06-22 财团法人工业技术研究院 一种基板温控装置
US7489388B2 (en) 2003-12-22 2009-02-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004058456A1 (de) 2004-12-03 2006-06-08 Disco Hi-Tec Europe Gmbh Verfahren zur Formkorrektur eines mit einer Schicht und/oder einer Klebefolie versehenen, dünngeschliffenen Wafers
US7452793B2 (en) * 2005-03-30 2008-11-18 Tokyo Electron Limited Wafer curvature estimation, monitoring, and compensation
KR20060107048A (ko) * 2005-04-07 2006-10-13 삼성전자주식회사 가열장치 및 그 구동방법
JP3938202B1 (ja) 2006-03-28 2007-06-27 松下電工株式会社 センサパッケージの製造方法
JP4720469B2 (ja) 2005-12-08 2011-07-13 株式会社ニコン 貼り合わせ半導体装置製造用の露光方法
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
JP5111865B2 (ja) 2007-01-12 2013-01-09 株式会社東芝 半導体装置の製造方法および半導体装置の製造装置
DE102006018514A1 (de) 2006-04-21 2007-10-25 Aixtron Ag Vorrichtung und Verfahren zur Steuerung der Oberflächentemperatur eines Substrates in einer Prozesskammer
JP4699283B2 (ja) 2006-05-23 2011-06-08 東京エレクトロン株式会社 熱処理板の温度制御方法、プログラム及び熱処理板の温度制御装置
JP2008076157A (ja) 2006-09-20 2008-04-03 Hitachi Ltd 寸法測定方法及び寸法測定システム
JP5080090B2 (ja) 2007-01-15 2012-11-21 リンテック株式会社 保持装置及び保持方法
JP4899879B2 (ja) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2008182016A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd 貼り合わせ装置、貼り合わせ方法
US7875528B2 (en) * 2007-02-07 2011-01-25 International Business Machines Corporation Method, system, program product for bonding two circuitry-including substrates and related stage
JP5476657B2 (ja) 2007-04-10 2014-04-23 株式会社ニコン 基板ホルダ、基板接合装置および基板接合方法
CN103258762B (zh) 2007-08-10 2016-08-03 株式会社尼康 基板贴合装置及基板贴合方法
JP2009200247A (ja) * 2008-02-21 2009-09-03 Dap Technology Kk 梱包方法および梱包装置
JP5440495B2 (ja) * 2008-04-30 2014-03-12 株式会社ニコン 評価方法、評価装置、基板重ね合わせ方法及び基板重ね合わせ装置
CN101286430A (zh) * 2008-06-03 2008-10-15 浙江大学 一种硅基表面电子发射器件及其制备方法
CN101342679A (zh) * 2008-08-19 2009-01-14 清华大学 一种用于化学机械抛光的抛光头
JP5375020B2 (ja) 2008-10-14 2013-12-25 株式会社ニコン 接合評価方法、接合評価装置、基板貼り合わせ装置、接合評価ゲージおよび積層型半導体装置
JP2010182866A (ja) * 2009-02-05 2010-08-19 Nikon Corp 静電吸着保持装置、露光装置、露光方法及びデバイスの製造方法
US8496466B1 (en) * 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
WO2011078108A1 (ja) 2009-12-21 2011-06-30 日本電気株式会社 マルチプロセッサ環境におけるパターンマッチング方法、及び装置
JP5549344B2 (ja) 2010-03-18 2014-07-16 株式会社ニコン 基板接合装置、基板ホルダ、基板接合方法、デバイス製造方法および位置合わせ装置
FR2962594B1 (fr) * 2010-07-07 2012-08-31 Soitec Silicon On Insulator Procede de collage par adhesion moleculaire avec compensation de desalignement radial
KR101866622B1 (ko) * 2010-12-20 2018-06-11 에베 그룹 에. 탈너 게엠베하 웨이퍼의 장착을 위한 수용 수단

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170002385A (ko) * 2014-04-30 2017-01-06 에베 그룹 에. 탈너 게엠베하 기판 스택 균등화 방법 및 디바이스
KR20190035429A (ko) * 2017-09-26 2019-04-03 주식회사 야스 포켓을 구비한 기판 이송 장치
KR20190035443A (ko) * 2017-09-26 2019-04-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 동적 지지 핀들을 갖는 웨이퍼 테이블
US10522385B2 (en) 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer table with dynamic support pins
US10651075B2 (en) 2017-09-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer table with dynamic support pins
US10811300B2 (en) 2017-09-26 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer table with dynamic support pins
US11217475B2 (en) 2017-09-26 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer table with dynamic support pins
US11302566B2 (en) 2017-09-26 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer table with dynamic support pins

Also Published As

Publication number Publication date
CN103283000B (zh) 2016-10-26
US11355374B2 (en) 2022-06-07
EP2863421A1 (de) 2015-04-22
TWI680506B (zh) 2019-12-21
EP3460833B1 (de) 2023-12-06
CN103283000A (zh) 2013-09-04
KR101866719B1 (ko) 2018-06-11
KR20180020317A (ko) 2018-02-27
EP2656378B1 (de) 2015-03-18
KR101801409B1 (ko) 2017-12-20
US9312161B2 (en) 2016-04-12
TWI563549B (en) 2016-12-21
JP6279324B2 (ja) 2018-02-14
EP3460833A1 (de) 2019-03-27
EP4290563A2 (de) 2023-12-13
TW201234456A (en) 2012-08-16
TW201834035A (zh) 2018-09-16
EP4290563A3 (de) 2024-03-20
US11756818B2 (en) 2023-09-12
US20190206711A1 (en) 2019-07-04
US20220262663A1 (en) 2022-08-18
US20210104425A1 (en) 2021-04-08
US10886156B2 (en) 2021-01-05
EP2854157B1 (de) 2019-01-09
JP2014502784A (ja) 2014-02-03
WO2012083978A1 (de) 2012-06-28
KR101866622B1 (ko) 2018-06-11
CN106887399B (zh) 2020-02-21
EP2863421B1 (de) 2021-04-14
EP2854157A1 (de) 2015-04-01
CN106887399A (zh) 2017-06-23
TW201519293A (zh) 2015-05-16
TWI563548B (en) 2016-12-21
SG187694A1 (en) 2013-03-28
KR20180065033A (ko) 2018-06-15
US20130330165A1 (en) 2013-12-12
KR20150034262A (ko) 2015-04-02
TW201711096A (zh) 2017-03-16
US20150228521A1 (en) 2015-08-13
EP2656378A1 (de) 2013-10-30
TWI618130B (zh) 2018-03-11
KR20150034263A (ko) 2015-04-02
KR101849443B1 (ko) 2018-04-16
US10325798B2 (en) 2019-06-18

Similar Documents

Publication Publication Date Title
KR20130139737A (ko) 웨이퍼의 장착을 위한 수용 수단
CN104122746B (zh) 压印方法、压印装置和制造物品的方法
KR102396428B1 (ko) 반도체 테스트 장치 및 방법
US20050162179A1 (en) Probe with trapezoidal contactor and device based on application thereof, and method of producing them
JP2007035856A (ja) 集積回路の製造方法、集積回路の測定装置及びウェハ
KR100830138B1 (ko) 도전성 접촉자 홀더, 도전성 접촉자 유닛 및 도전성 접촉자홀더의 제조방법
JP3569255B2 (ja) 電子線描画装置および試料位置の補正方法
JP6336493B2 (ja) ウェハの装着用受け取り手段
JP6659765B2 (ja) ウェハの装着用受け取り手段
CN104658950A (zh) 用于保持晶片的容纳装置及用于将晶片对齐的装置和方法
JP2009047466A (ja) プローブカード機構
JP2020074481A (ja) ウェハの装着用受け取り手段
US11199562B2 (en) Wafer testing system including a wafer-flattening multi-zone vacuum chuck and method for operating the same
JP7024040B2 (ja) 基板をボンディングする方法
JP6337179B2 (ja) 位置合わせ誤差を求めるための装置と方法
JP6308081B2 (ja) ウェハプローバ装置

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant