TW201834035A - 用於晶圓安裝的接收構件 - Google Patents

用於晶圓安裝的接收構件 Download PDF

Info

Publication number
TW201834035A
TW201834035A TW106146307A TW106146307A TW201834035A TW 201834035 A TW201834035 A TW 201834035A TW 106146307 A TW106146307 A TW 106146307A TW 106146307 A TW106146307 A TW 106146307A TW 201834035 A TW201834035 A TW 201834035A
Authority
TW
Taiwan
Prior art keywords
wafer
mounting surface
strain
receiving
map
Prior art date
Application number
TW106146307A
Other languages
English (en)
Other versions
TWI680506B (zh
Inventor
威普林格馬克斯
魏跟特湯瑪斯
費伯特亞歷山卓
Original Assignee
奧地利商Ev集團E塔那有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 奧地利商Ev集團E塔那有限公司 filed Critical 奧地利商Ev集團E塔那有限公司
Publication of TW201834035A publication Critical patent/TW201834035A/zh
Application granted granted Critical
Publication of TWI680506B publication Critical patent/TWI680506B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明係關於一種用於接收及安裝晶圓之接收構件,其具以下特徵: - 一安裝表面(1o), - 安裝構件,用於將該晶圓安裝在該安裝表面(1o)上,及 - 補償構件(3、4、5、6),用於作用於尤其可局部地控制該晶圓之局部及/或全域變形之至少部分補償。 此外,此發明係關於一種使用一先前提及的接收構件對準一第一晶圓與一第二晶圓之裝置及方法。

Description

用於晶圓安裝的接收構件
本發明係關於一種如請求項1所主張之用於接收及安裝晶圓之接收構件及一種如請求項8及9所主張之使用如請求項1所主張之接收構件對準一第一晶圓與一第二晶圓之裝置及方法。 此等接收構件或樣本固持件或卡盤可用於多種版本,且一平坦接收表面或安裝表面對於接收構件係決定性的,使得變得越來越小的結構可被準確地對準且接合在整個安裝表面之上的越來越大之晶圓表面上。此在實際接合製程之前實行一所謂的預接合步驟時尤其重要,該所謂的預接合步驟藉由一可分開互連而使晶圓彼此結合。一旦對於定位於一個或兩個晶圓上之所有結構達成< 2 µm之一對準精確度或尤其變形值,則晶圓對彼此之高對準精確度尤其重要。在對準標記鄰近,此在用於對準之指示的接收構件及裝置(所謂的對準器,尤其接合對準器)中尤其很好地獲得成功。隨著與對準標記之距離遞增,無法達成具佳於2 µm尤其佳於1 µm且甚至更佳地佳於0.25 µm之對準精確度或尤其變形值之受控制及完善對準。
本發明之目的係改良一般性接收構件,使得可運用其等來達成更精確對準。 運用請求項1、8及9之特徵來達成此目的。 在附屬請求項中給定本發明之有利發展。在說明書、請求項及/或圖中給定的特徵之至少兩者之所有組合亦落於本發明之框架內。在給定值範圍中,指示的限制內之值亦將揭示為邊界值且將在任何組合中主張。 本發明基於根據歐洲專利申請案EP 09012023及EP 10 015 569之申請人之發現,運用EP 09012023,偵測在各晶圓之整個表面尤其表面上之結構之位置(如該晶片之一位置映射)係可能的。EP 10 015 569之發明係關於一種用於運用以下機構來判定當第一晶圓結合至一第二晶圓時已歸因於該第一晶圓相對於該第二晶圓之應變及/或變形發生的局部對準誤差之裝置: - 沿著該第一晶圓之一第一接觸表面之應變值之一第一應變映射,及/或 - 沿著一第二接觸表面之應變值之一第二應變映射,及 - 用於評估該第一應變映射及/或該第二應變映射之評估構件,可藉由該第一應變映射及/或該第二應變映射而判定該等局部對準誤差。 此發明之基本構想係提供一種由若干個作用控制元件組成的接收構件,該若干個作用控制元件彼此獨立且可運用其等影響該接收構件之安裝表面,尤其於形狀及/或溫度。在此,藉由對應之啟動而使用該等作用控制元件,使得補償或者極大部分地最小化或減小藉由位置映射及/或應變映射已知的局部對準誤差或局部變形。在此,不僅消除局部變形,且同時最小化或校正出現自局部變形之完全在晶圓其外側尺寸之一宏觀變形或伸展。 因此,如本發明所主張,尤其與關於位置映射、應變映射及/或應力映射及其中在進行接觸及接合晶圓期間揭示的對準錯失之原位校正之上文描述的本發明組合,可能藉由作用於尤其局部作用於晶圓之變形而達成一仍較好對準結果。 根據本發明之一有利實施例,假設安裝表面之溫度可局部地受補償構件影響。該安裝表面之一局部溫度增大導致固持在該安裝表面上之晶圓在此位置處之局部膨脹。溫度梯度越高,晶圓在此位置處膨脹越多。基於位置映射及/或應變映射之資料之尤其對準誤差之向量評估,尤其對於位置映射及/或應變映射之各位置,可能以一受控制方式作用於局部晶圓變形或抵消局部晶圓變形。 在此點上,向量評估定義為具變形向量之一向量場,尤其已藉由下文描述的本發明之兩種版本之一者判定該場。 第一版本係關於結構化兩個晶圓之僅一者之申請案。在此情況下,如本發明所主張,假設偵測結構之偏差,尤其幾何形狀與所要形狀之偏差。在此情況下,特殊關注曝光場(尤其一分步重複曝光裝置之曝光場)之形狀與習知長方形之標稱預期形狀之偏差。可基於根據EP 09012023之對應於曝光場之個別對準標記之一位置映射之偵測而發生此等偏差(尤其描述此等偏差之向量場)。替代地,亦可基於藉由EP 10 015 569.6獲取的應力映射及/或應變映射而判定此向量場。然而有利地,如本發明所主張,此向量場亦可藉由任何其他適合量測方式來判定且可被讀入。特定言之,運用一特殊測試光罩及/或一特殊測試常式操作用於獲取此等資料之分步重複微影術系統適合於此量測。 第二版本係關於結構化兩個晶圓之申請案。在此情況下,如本發明所主張,假設對於根據EP 09012023之位置映射(尤其第一位置映射及第二位置映射)之所有位置計算對準偏差之向量場。根據EP 10 015 569.6之材料,尤其對於對準位置(根據技術及/或經濟準則其被視為理想的)判定此向量場。 在本發明之另一有利實施例中,假設安裝表面之應變可局部地受補償構件(尤其受可在該安裝表面之一背面上較佳地個別地啟動之壓電式元件之配置)影響。藉由伸展或收縮(因此負伸展),據此安裝表面(亦晶圓)尤其藉由自安裝表面作用於晶圓上之安裝力而形變(尤其伸展或收縮),使得以此方式可基於已對於此晶圓判定的應變映射之值藉由一對應控制構件以一受控制方式影響該晶圓。至安裝表面之形狀可局部地受補償構件(尤其較佳地受在一Z方向上之機械作用)影響之程度,存在抵消晶圓在該安裝表面上變形之另一可能性。在此,亦適用藉由一控制構件而發生補償構件之控制,該控制構件基於位置映射值及/或應變映射值而從事該補償構件之一對應專用局部控制。 控制構件尤其包含用於執行/計算對應常式之軟體。 根據本發明之另一有利實施例,假設安裝表面可局部地曝露至藉由補償構件之來自安裝表面之背面之壓力,尤其水動式及/或氣動式。以此方式,安裝表面之形狀可同樣受影響使得出現先前提及的效果。同樣控制藉由上文描述的控制構件而再次發生。 有利地,補償構件提供作為尤其整合較佳嵌入至安裝表面中之接收構件中之複數個作用控制元件。因此,接收構件之一接收器可製成單片的,同樣如已知接收構件中之情況。 在此,尤其有利的是若可分開地啟動各控制元件或控制元件之群組。據此,局部啟動意指可由補償構件局部地啟動一小抽出物,尤其小於晶圓之一半較佳地小於晶圓之1/4較佳地小於晶圓之1/8甚至更佳地小於晶圓之1/16之一抽出物。尤其有利的是若補償構件可運用至少一控制元件作用於由其自身結構佔有的晶圓之各區域。 如本發明所主張之裝置包括有利於一中央控制單元之上文描述的控制構件,該中央控制單元負責所有控制製程。但是如本發明所主張,可想像在接收構件中提供控制構件尤其作為一總裝置之一模組。 仍可藉由存在繼對準後之第一晶圓及/或第二晶圓之位置映射及/或應變映射之一獲取(尤其重複獲取)而進一步改良如本發明所主張之方法。因此,如本發明所主張,繼完成對準之後,可存在對準成功之檢查。據此,可想像消除具過度大之對準誤差之一晶圓對以(例如)如本發明所主張而再次對準其等或佈置其等。同時,獲取的資料可用於裝置(尤其藉由控制構件)之自校準。 在歐洲專利申請案EP 09012023.9及/或歐洲專利申請案EP 10 015 569.6中揭示的本發明將被視為在相同於此發明之實施例之時間附隨地揭示。
本發明之其他優點、特徵及細節將自較佳例示性實施例之下文描述及使用圖式而變得顯而易見。 在圖中運用相同參考數字識別相同組件/特徵及具相同作用之組件/特徵。 所有四個實施例展示一單片接收器1,該單片接收器1(如一平坦較佳圓環狀板)具備用於接收及安裝晶圓之一平坦安裝表面1o。在外周邊上該接收器具有一環狀肩部1a。 安裝表面1o形成用於接收晶圓之一接收平面,該平面在X方向及Y方向上延伸。指出作用於晶圓之安裝力之Z方向垂直於X方向及Y方向而運行。晶圓之安裝透過開孔2而發生,該等開孔2經配置以複數形式均等地分佈在安裝表面1o之上以能夠藉由將負壓力施加於該等開孔2而將該晶圓固持在該安裝表面1o上。開孔2之數目越大及該等開孔2之直徑越小,在開孔2上支配用於安裝晶圓之負壓力越小,導致在該等開孔2上之晶圓之變形。 經由未展示且將負壓力施加至定位於安裝表面1o之背面側上之一內部空間1i之一真空構件而施加開孔2上之負壓力。此外,該內部空間1i毗連接收器1之一周邊壁1w且相對於鄰近而密封。該等開孔2自該安裝表面1o延伸直至該內部空間1i且可因此均等地曝露至在該內部空間1i中支配之負壓力。 此外,內部空間1i毗連相對安裝表面1o定位的背面1r且毗連未展示的該內部表面1i之底部,開孔2貫穿該背面1r。 在背面1r上,作用控制元件係複數個加熱/冷卻元件,尤其專門加熱元件3。該等加熱元件3各被個別地或群組地啟動,控制藉由未展示之一控制構件而發生。當加熱該等加熱元件3之一者時,藉由接收器之具非常良好熱傳導之材料(尤其金屬)加熱安裝表面1o之一局部區段。此導致位於該安裝表面1o上之一晶圓在此區域中局部膨脹。因此,對於據此經固持對準在接收構件上且與可能變形/應變之一已知位置對準之晶圓,可以一受控制方式藉由切換個別或若干個加熱元件3以補償局部變形致使該晶圓之一形變。尤其對於複數個局部補償,此亦產出尤其在X方向及/或Y方向上之晶圓直徑之一變更之全域變形之全域補償。 藉由加熱及/或冷卻元件而影響晶圓上之變形之一特殊優點在於可能能夠尤其在安裝表面不形變及/或尤其在垂直方向或Z方向上之晶圓不形變之情況下以最小形變達成此。在此點上,最小形變應被視為安裝表面之形變且尤其在垂直方向或Z方向上之晶圓相對於支撐表面<5 µm有利地<2 µm較佳地<1 µm且甚至更佳地<0.5 µm之形變。 此尤其有利於產生預接合互連,例如基於van-der-Waals接合之預接合。基於在此可使安裝表面及尤其晶圓保持平坦之事實,在此等預接合步驟中習知的接合波在其傳播中不會受不均勻影響。因此,大大地降低依舊未接合部位(所謂的孔洞)之風險。對於產生此等預接合互連,如本發明所主張,需要安裝表面在整個晶圓表面之上之均勻度 <5 µm,有利地<2 µm,較佳地<1 µm且甚至更佳地<0.5 µm。此等均勻度值定義為與晶圓接觸之安裝表面之該部分內的最高點與最低點之間的距離。 有利地,加熱元件3均等地分佈在安裝表面1o下。有利地,在接收構件中存在10個以上加熱元件3,尤其50個以上加熱元件3,較佳地100個以上加熱元件3,甚至更佳地500個以上加熱元件3。此等加熱元件形成可在安裝表面中分開地啟動且可使能局部作用於晶圓上之區域。有利地,安裝表面之個別區域運用適合構件而彼此熱絕緣。特定言之,區域係以使能均等及封閉配置個別片段之一形式製成。有利地,如三角形、正方形或六邊形之片段之執行適合於此目的。 特定言之,Peltier元件適合作為加熱元件3。 在圖2a及圖2b中展示的第二實施例中,未展示加熱元件3且代替或組合其等在安裝表面1o上存在壓電式元件4,較佳地與背面1r之距離大於與該安裝表面1o之距離。 以此方式,受控制地作用於安裝表面1o係可能的。壓電式元件4當啟動時可致使在奈米至微米範圍中之應變。 壓電式元件4之數目可對應於加熱元件3之先前提及的數目,如本發明所主張可想像兩實施例之一組合。 在圖3a及圖3b中展示的本發明之第三實施例中,代替或組合加熱元件3及/或壓電式元件4,存在終止於安裝表面1o上之具一尤其尖管腳端部5e之管腳5。在該等管腳5之啟始位置中,該管腳端部5e與該安裝平面1o齊平。至存在一晶圓在某一管腳5之區域中之一局部變形(如變形映射或應變映射之資訊)之程度,控制構件可藉由啟動個別或若干個管腳5藉由使管腳5或管腳端部5e在Z方向上在晶圓之方向上移動而局部地作用於該晶圓上。因此,管腳端部5e使晶圓局部地曝露至一壓縮力,該壓縮力提供該晶圓在此點處之一局部凸出或撓曲。管腳5可經引導以作為一整體在一引導開孔7中滑動,該引導開孔7自安裝表面1o延伸直至背面1r。替代地,僅管腳端部5e可在管腳5中移動且管腳5或該管腳之下區段係相對於引導開孔7而固定的。以此方式,可確保相對於內部空間1i而特殊密封管腳5或數個管腳5。 管腳5之數目對應於壓電式元件4或加熱元件3之數目,在此組合先前提及的實施例之一或多者係可能的。 在圖4中展示的實施例中,接收1具有複數個壓力室6,其等與在圖4b中展示的該複數個壓力室6之上壁6o形成安裝表面1o。壓力室6延伸通過內部空間1i且相對於此內部空間1i而密封。壓力室6之各者或壓力室6之群組可分開地加壓,且控制可藉由描述的控制構件而發生。當施加壓力時,使壓力室6至少在其之上壁6o上使得其在施加壓力時屈變,因此使該上壁薄於及/或軟於壓力室6之其他邊界壁。開孔2連接至內部空間1i。 如本發明所主張,安裝表面1o之一簡單最小局部撓曲藉由先前提及的補償構件3、4、5、6而發生達一最大值3 µm,尤其一最大值1 µm,較佳地一最大值100 nm。 為了能夠抵消關於先前提及的實施例之一或多者之局部變形,如上文描述,控制構件有必要知道晶圓之變形存在於何處及至什麼程度或在什麼方向上。僅如此變形之受控制作用或抵消及補償係可能的。各晶圓之應變映射產出依分佈在晶圓之上且已運用根據EP 10 015 569.6之一對應量測構件判定的應變向量之形式之資訊。對應控制資料可存檔在控制單元中(尤其經驗判定),以能夠根據晶圓在由該晶圓之位置映射指示的位置處之應變映射而對於晶圓從事一個別控制。可在對準晶圓期間以此方式自動地實行補償。 在圖中作用控制元件3、4、5、6未按比例展示且亦可具有不同大小及形狀。
1‧‧‧接收器
1a‧‧‧環狀肩部
1i‧‧‧內部空間
1o‧‧‧安裝表面
1r‧‧‧背面
1w‧‧‧周邊壁
2‧‧‧開孔
3‧‧‧加熱/冷卻元件
4‧‧‧壓電式元件
5‧‧‧管腳
5e‧‧‧管腳端部
6‧‧‧壓力室
6o‧‧‧上壁
7‧‧‧引導開孔
圖1a展示在一第一實施例中之如本發明所主張之一接收構件之一平面圖, 圖1b展示根據來自圖1a之切割線A-A之接收構件之一橫截面圖, 圖2a展示在一第二實施例中之如本發明所主張之一接收構件之一平面圖, 圖2b展示根據來自圖2a之切割線B-B之接收構件之一橫截面圖, 圖3a展示在一第三實施例中之如本發明所主張之一接收構件之一平面圖, 圖3b展示根據來自圖3a之切割線C-C之接收構件之一橫截面圖, 圖4a展示在一第四實施例中之如本發明所主張之一接收構件之一平面圖, 圖4b展示根據來自圖4a之切割線D-D之接收構件之一橫截面圖。

Claims (10)

  1. 一種用於接收及安裝晶圓之接收構件,其具以下特徵: 一安裝表面(1o), 安裝構件,用於將該晶圓安裝在該安裝表面(1o)上,及 補償構件(3、4、5、6),用於主動的、尤其可局部地控制該晶圓之局部變形(distortions)及/或全域變形之至少部分補償。
  2. 如請求項1之接收構件,其中該安裝表面(1o)之溫度可局部地受該補償構件(3、4、5、6)影響。
  3. 如請求項1或2之接收構件,其中該安裝表面(1o)之應變可局部地受該補償構件(3、4、5、6)影響,尤其受壓電式元件(4)之配置影響,該壓電式元件較佳地可在該安裝表面(1o)之一背面(1r)上個別地啟動(activated)。
  4. 如請求項1或2之接收構件,其中該安裝表面(1o)之形狀可局部地受該補償構件(3、4、5、6)影響,尤其是較佳的受一Z方向上之機械作用(mechanical action)之影響。
  5. 如請求項1或2之接收構件,其中該安裝表面(1o)可局部地曝露至來自該安裝表面(1o)之該背面(1r)之壓力,該安裝表面(1o)之該背面(1r)之壓力係藉由該補償構件(3、4、5、6),尤其液動式(hydraulically)及/或氣動式(pneumatically)。
  6. 如請求項1或2之接收構件,其中該補償構件(3、4、5、6)作為該接收構件中之複數個主動(active)控制元件(3、4、5、6),尤其是被整合,較佳的是被嵌入,至該安裝表面(1o)中。
  7. 如請求項6之接收構件,其中若干控制元件(3、4、5、6)之個別控制元件(3、4、5、6)或群組可分別地被啟動。
  8. 一種用於對準一第一晶圓與一第二晶圓之裝置,其具以下特徵: 判定構件,其用於使用該第一晶圓之一第一應變映射及/或該第二晶圓之一第二應變映射及用於評估該第一應變映射及/或該第二應變映射之評估構件,來判定歸因於該第一晶圓相對於該第二晶圓之應變及/或變形而發生之局部對準誤差(local alignment errors), 至少一如請求項1至7中任一項之接收構件,用於接收該等晶圓之至少一者,及 對準構件,用於考量位置映射及/或應變映射及藉由補償構件同時補償而對準該等晶圓。
  9. 一種用於對準一第一晶圓與一第二晶圓之方法,其具以下步驟,尤其具以下順序: 偵測該第一晶圓之第一應變映射及/或該第二晶圓之第二應變映射及藉由評估構件對該第一應變映射及/或第二應變映射之評估及該等局部對準誤差之判定, 在如請求項1至7中之任一項之一接收構件上接收該等晶圓之至少一者,及 考量位置映射及/或應變映射及藉由補償構件之同時補償而對準該等晶圓。
  10. 如請求項9之方法,其中在對準之後,存在對於該第一晶圓及/或該第二晶圓之位置映射及/或應變映射之一偵測,尤其是重複偵測。
TW106146307A 2010-12-20 2011-12-20 用於晶圓安裝的接收構件 TWI680506B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??PCT/EP2010/007793 2010-12-20
PCT/EP2010/007793 WO2012083978A1 (de) 2010-12-20 2010-12-20 Aufnahmeeinrichtung zur halterung von wafern
WOPCT/EP2010/007793 2010-12-20

Publications (2)

Publication Number Publication Date
TW201834035A true TW201834035A (zh) 2018-09-16
TWI680506B TWI680506B (zh) 2019-12-21

Family

ID=43982251

Family Applications (4)

Application Number Title Priority Date Filing Date
TW106146307A TWI680506B (zh) 2010-12-20 2011-12-20 用於晶圓安裝的接收構件
TW105130205A TWI618130B (zh) 2010-12-20 2011-12-20 用於晶圓安裝的接收構件
TW100147527A TWI563548B (en) 2010-12-20 2011-12-20 Receiving means for mounting of wafers
TW104101544A TWI563549B (en) 2010-12-20 2011-12-20 Receiving means for mounting of wafers

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW105130205A TWI618130B (zh) 2010-12-20 2011-12-20 用於晶圓安裝的接收構件
TW100147527A TWI563548B (en) 2010-12-20 2011-12-20 Receiving means for mounting of wafers
TW104101544A TWI563549B (en) 2010-12-20 2011-12-20 Receiving means for mounting of wafers

Country Status (8)

Country Link
US (5) US9312161B2 (zh)
EP (5) EP2863421B1 (zh)
JP (1) JP6279324B2 (zh)
KR (5) KR101866622B1 (zh)
CN (2) CN106887399B (zh)
SG (1) SG187694A1 (zh)
TW (4) TWI680506B (zh)
WO (1) WO2012083978A1 (zh)

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101866622B1 (ko) * 2010-12-20 2018-06-11 에베 그룹 에. 탈너 게엠베하 웨이퍼의 장착을 위한 수용 수단
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
USD723239S1 (en) * 2012-08-30 2015-02-24 Entegris, Inc. Wafer carrier ring
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014191033A1 (de) 2013-05-29 2014-12-04 Ev Group E. Thallner Gmbh Vorrichtung und verfahren zum bonden von substraten
US9058974B2 (en) * 2013-06-03 2015-06-16 International Business Machines Corporation Distorting donor wafer to corresponding distortion of host wafer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9059039B2 (en) * 2013-09-06 2015-06-16 International Business Machines Corporation Reducing wafer bonding misalignment by varying thermal treatment prior to bonding
JP6178683B2 (ja) * 2013-09-25 2017-08-09 芝浦メカトロニクス株式会社 吸着ステージ、貼合装置、および貼合方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
DE102014106100A1 (de) * 2014-04-30 2015-11-05 Ev Group E. Thallner Gmbh Verfahren und Vorrichtung zum Vergleichmäßigen eines Substratstapels
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
USD767234S1 (en) * 2015-03-02 2016-09-20 Entegris, Inc. Wafer support ring
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE202016000967U1 (de) 2016-02-16 2016-03-31 Ev Group E. Thallner Gmbh Aufnahmeeinrichtung zur Halterung von Wafern
SG11201805655VA (en) 2016-02-16 2018-07-30 Ev Group E Thallner Gmbh Method and device for bonding substrates
EP3227907B1 (de) 2016-02-16 2018-05-23 Ev Group E. Thallner GmbH Verfahren zum bonden von substraten
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
EP3433875B1 (de) 2016-03-22 2022-05-04 EV Group E. Thallner GmbH Verfahren zum bonden von substraten
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109496345B (zh) 2016-08-12 2023-07-18 Ev 集团 E·索尔纳有限责任公司 用于经控制地接合衬底的方法和样本支架
KR102386005B1 (ko) 2016-08-29 2022-04-12 에베 그룹 에. 탈너 게엠베하 기질을 정렬하기 위한 방법 및 장치
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102396022B1 (ko) 2017-03-16 2022-05-09 에베 그룹 에. 탈너 게엠베하 적어도 세 개의 기판들을 결합하기 위한 방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6925160B2 (ja) * 2017-05-02 2021-08-25 東京エレクトロン株式会社 接合装置
JP6854696B2 (ja) * 2017-05-02 2021-04-07 東京エレクトロン株式会社 接合装置および接合方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP6426797B2 (ja) * 2017-07-14 2018-11-21 芝浦メカトロニクス株式会社 吸着ステージ、貼合装置、および貼合方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
CN118098996A (zh) * 2017-09-21 2024-05-28 Ev 集团 E·索尔纳有限责任公司 接合基板的装置和方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102065825B1 (ko) * 2017-09-26 2020-02-11 주식회사 야스 포켓을 구비한 기판 이송 장치
US10522385B2 (en) 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer table with dynamic support pins
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6884082B2 (ja) * 2017-10-11 2021-06-09 株式会社Screenホールディングス 膜厚測定装置、基板検査装置、膜厚測定方法および基板検査方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11243476B2 (en) 2018-04-26 2022-02-08 Asml Netherlands B.V. Stage apparatus, lithographic apparatus, control unit and method
EP3385792A3 (en) * 2018-04-26 2018-12-26 ASML Netherlands B.V. Stage apparatus for use in a lithographic apparatus
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP7208759B2 (ja) * 2018-10-16 2023-01-19 株式会社ディスコ ウエーハ保持装置を用いたウエーハの加工方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
SG11201911800XA (en) 2019-01-18 2020-08-28 Ev Group E Thallner Gmbh Measuring device and method for determining the course of a bonding wave
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7286493B2 (ja) 2019-09-13 2023-06-05 キオクシア株式会社 基板貼合装置
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
US20220415675A1 (en) 2019-12-02 2022-12-29 Ev Group E. Thallner Gmbh Apparatus and method for heating a substrate
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2023517415A (ja) 2019-12-10 2023-04-26 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 複数の基板の位置合わせをする方法および装置
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20220167376A (ko) 2020-06-29 2022-12-20 에베 그룹 에. 탈너 게엠베하 기판 접합 방법 및 장치
CN115605987A (zh) 2020-06-29 2023-01-13 Ev 集团 E·索尔纳有限责任公司(At) 基底保持器以及用于固定和键合基底的方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
WO2022073679A1 (en) * 2020-10-08 2022-04-14 Asml Netherlands B.V. Substrate holder, carrier system comprising a substrate holder and lithographic apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11829077B2 (en) 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN116457916A (zh) * 2021-02-01 2023-07-18 Ev 集团 E·索尔纳有限责任公司 基底支架以及制造用于接合的基底支架的方法
US11594431B2 (en) 2021-04-21 2023-02-28 Tokyo Electron Limited Wafer bonding apparatus and methods to reduce post-bond wafer distortion
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117882180A (zh) 2021-11-02 2024-04-12 Ev 集团 E·索尔纳有限责任公司 用于补偿变形的方法及装置
CN116403929A (zh) * 2021-12-28 2023-07-07 拓荆键科(海宁)半导体设备有限公司 调整卡盘形变的系统及装置
JP2023120893A (ja) * 2022-02-18 2023-08-30 キヤノン株式会社 保持装置、リソグラフィ装置、および物品製造方法
WO2024046578A1 (de) 2022-09-02 2024-03-07 Ev Group E. Thallner Gmbh Vakuumsubstrathalter mit optimierter vakuumdichtung

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53121452A (en) 1977-03-31 1978-10-23 Hitachi Ltd Gate control circuit for gate turn off thyristor
JPS5537298U (zh) * 1978-09-04 1980-03-10
JPS5626437A (en) * 1979-08-13 1981-03-14 Chiyou Lsi Gijutsu Kenkyu Kumiai Wafer supporting base
JPS57169244A (en) * 1981-04-13 1982-10-18 Canon Inc Temperature controller for mask and wafer
JPS57204547A (en) * 1981-06-12 1982-12-15 Hitachi Ltd Exposing method
DE3306999A1 (de) * 1982-03-31 1983-10-06 Censor Patent Versuch Einrichtung zum festhalten eines werkstueckes
JPS61102735A (ja) * 1984-10-26 1986-05-21 Hitachi Ltd 基板変形チャック
JPS6328035A (ja) * 1986-07-22 1988-02-05 Nec Corp 縮小投影露光装置
JPH01152639A (ja) * 1987-12-10 1989-06-15 Canon Inc 吸着保持装置
JP2737010B2 (ja) 1989-08-01 1998-04-08 キヤノン株式会社 露光装置
US5094536A (en) * 1990-11-05 1992-03-10 Litel Instruments Deformable wafer chuck
JP3168018B2 (ja) * 1991-03-22 2001-05-21 キヤノン株式会社 基板吸着保持方法
JP3251362B2 (ja) 1993-01-11 2002-01-28 三菱電機株式会社 露光装置及び露光方法
JPH06302550A (ja) 1993-04-13 1994-10-28 Hitachi Ltd 半導体製造装置
US5506793A (en) 1994-01-14 1996-04-09 Gerber Systems Corporation Method and apparatus for distortion compensation in an automatic optical inspection system
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH1015815A (ja) * 1996-07-01 1998-01-20 Canon Inc 基板矯正装置および方法
JPH1076439A (ja) * 1996-08-30 1998-03-24 Sony Corp 薄板保持装置
JPH10256356A (ja) 1997-03-17 1998-09-25 Nikon Corp 位置決め装置及び該装置を備えた露光装置
JP3027551B2 (ja) * 1997-07-03 2000-04-04 キヤノン株式会社 基板保持装置ならびに該基板保持装置を用いた研磨方法および研磨装置
EP1077393A2 (en) * 1999-08-19 2001-02-21 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
KR20010051530A (ko) 1999-11-08 2001-06-25 조셉 제이. 스위니 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
WO2001078108A2 (en) * 2000-04-10 2001-10-18 Motorola, Inc. Wafer chuck having piezoelectric elements and method
JP3991300B2 (ja) 2000-04-28 2007-10-17 株式会社Sumco 張り合わせ誘電体分離ウェーハの製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
JP4411575B2 (ja) 2002-04-25 2010-02-10 セイコーエプソン株式会社 電子装置の製造装置
JP2004158610A (ja) 2002-11-06 2004-06-03 Nikon Corp 露光装置および露光方法
JP2004335855A (ja) 2003-05-09 2004-11-25 Rohm Co Ltd 露光装置及び露光方法
CN1629759A (zh) * 2003-12-19 2005-06-22 财团法人工业技术研究院 一种基板温控装置
US7489388B2 (en) 2003-12-22 2009-02-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102004058456A1 (de) 2004-12-03 2006-06-08 Disco Hi-Tec Europe Gmbh Verfahren zur Formkorrektur eines mit einer Schicht und/oder einer Klebefolie versehenen, dünngeschliffenen Wafers
US7452793B2 (en) * 2005-03-30 2008-11-18 Tokyo Electron Limited Wafer curvature estimation, monitoring, and compensation
KR20060107048A (ko) * 2005-04-07 2006-10-13 삼성전자주식회사 가열장치 및 그 구동방법
JP3938202B1 (ja) 2006-03-28 2007-06-27 松下電工株式会社 センサパッケージの製造方法
JP4720469B2 (ja) 2005-12-08 2011-07-13 株式会社ニコン 貼り合わせ半導体装置製造用の露光方法
US7638003B2 (en) * 2006-01-12 2009-12-29 Asm Japan K.K. Semiconductor processing apparatus with lift pin structure
JP5111865B2 (ja) 2007-01-12 2013-01-09 株式会社東芝 半導体装置の製造方法および半導体装置の製造装置
DE102006018514A1 (de) 2006-04-21 2007-10-25 Aixtron Ag Vorrichtung und Verfahren zur Steuerung der Oberflächentemperatur eines Substrates in einer Prozesskammer
JP4699283B2 (ja) 2006-05-23 2011-06-08 東京エレクトロン株式会社 熱処理板の温度制御方法、プログラム及び熱処理板の温度制御装置
JP2008076157A (ja) 2006-09-20 2008-04-03 Hitachi Ltd 寸法測定方法及び寸法測定システム
JP5080090B2 (ja) 2007-01-15 2012-11-21 リンテック株式会社 保持装置及び保持方法
JP4899879B2 (ja) * 2007-01-17 2012-03-21 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2008182016A (ja) * 2007-01-24 2008-08-07 Tokyo Electron Ltd 貼り合わせ装置、貼り合わせ方法
US7875528B2 (en) * 2007-02-07 2011-01-25 International Business Machines Corporation Method, system, program product for bonding two circuitry-including substrates and related stage
JP5476657B2 (ja) 2007-04-10 2014-04-23 株式会社ニコン 基板ホルダ、基板接合装置および基板接合方法
CN103258762B (zh) 2007-08-10 2016-08-03 株式会社尼康 基板贴合装置及基板贴合方法
JP2009200247A (ja) * 2008-02-21 2009-09-03 Dap Technology Kk 梱包方法および梱包装置
JP5440495B2 (ja) * 2008-04-30 2014-03-12 株式会社ニコン 評価方法、評価装置、基板重ね合わせ方法及び基板重ね合わせ装置
CN101286430A (zh) * 2008-06-03 2008-10-15 浙江大学 一种硅基表面电子发射器件及其制备方法
CN101342679A (zh) * 2008-08-19 2009-01-14 清华大学 一种用于化学机械抛光的抛光头
JP5375020B2 (ja) 2008-10-14 2013-12-25 株式会社ニコン 接合評価方法、接合評価装置、基板貼り合わせ装置、接合評価ゲージおよび積層型半導体装置
JP2010182866A (ja) * 2009-02-05 2010-08-19 Nikon Corp 静電吸着保持装置、露光装置、露光方法及びデバイスの製造方法
US8496466B1 (en) * 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
WO2011078108A1 (ja) 2009-12-21 2011-06-30 日本電気株式会社 マルチプロセッサ環境におけるパターンマッチング方法、及び装置
JP5549344B2 (ja) 2010-03-18 2014-07-16 株式会社ニコン 基板接合装置、基板ホルダ、基板接合方法、デバイス製造方法および位置合わせ装置
FR2962594B1 (fr) * 2010-07-07 2012-08-31 Soitec Silicon On Insulator Procede de collage par adhesion moleculaire avec compensation de desalignement radial
KR101866622B1 (ko) * 2010-12-20 2018-06-11 에베 그룹 에. 탈너 게엠베하 웨이퍼의 장착을 위한 수용 수단

Also Published As

Publication number Publication date
CN103283000B (zh) 2016-10-26
US11355374B2 (en) 2022-06-07
EP2863421A1 (de) 2015-04-22
TWI680506B (zh) 2019-12-21
EP3460833B1 (de) 2023-12-06
CN103283000A (zh) 2013-09-04
KR101866719B1 (ko) 2018-06-11
KR20180020317A (ko) 2018-02-27
EP2656378B1 (de) 2015-03-18
KR101801409B1 (ko) 2017-12-20
US9312161B2 (en) 2016-04-12
TWI563549B (en) 2016-12-21
JP6279324B2 (ja) 2018-02-14
EP3460833A1 (de) 2019-03-27
EP4290563A2 (de) 2023-12-13
TW201234456A (en) 2012-08-16
EP4290563A3 (de) 2024-03-20
US11756818B2 (en) 2023-09-12
US20190206711A1 (en) 2019-07-04
US20220262663A1 (en) 2022-08-18
US20210104425A1 (en) 2021-04-08
US10886156B2 (en) 2021-01-05
KR20130139737A (ko) 2013-12-23
EP2854157B1 (de) 2019-01-09
JP2014502784A (ja) 2014-02-03
WO2012083978A1 (de) 2012-06-28
KR101866622B1 (ko) 2018-06-11
CN106887399B (zh) 2020-02-21
EP2863421B1 (de) 2021-04-14
EP2854157A1 (de) 2015-04-01
CN106887399A (zh) 2017-06-23
TW201519293A (zh) 2015-05-16
TWI563548B (en) 2016-12-21
SG187694A1 (en) 2013-03-28
KR20180065033A (ko) 2018-06-15
US20130330165A1 (en) 2013-12-12
KR20150034262A (ko) 2015-04-02
TW201711096A (zh) 2017-03-16
US20150228521A1 (en) 2015-08-13
EP2656378A1 (de) 2013-10-30
TWI618130B (zh) 2018-03-11
KR20150034263A (ko) 2015-04-02
KR101849443B1 (ko) 2018-04-16
US10325798B2 (en) 2019-06-18

Similar Documents

Publication Publication Date Title
TWI680506B (zh) 用於晶圓安裝的接收構件
KR102263285B1 (ko) 기판 결합 방법
US20200091015A1 (en) Substrate bonding method, multilayer substrate manufacturing method, multilayer substrate manufacturing apparatus, and multilayer substrate manufacturing system
KR102169866B1 (ko) 특히 마스크 정렬기에 사용되는 척
JP7147863B2 (ja) 基板貼り合わせ装置および基板貼り合わせ方法
JP2023533426A (ja) 基板ホルダーならびに基板を固定および接合する方法
JP6336493B2 (ja) ウェハの装着用受け取り手段
JP6659765B2 (ja) ウェハの装着用受け取り手段
JP7109489B2 (ja) ウェハの装着用受け取り手段
JP7024040B2 (ja) 基板をボンディングする方法
JP7321309B2 (ja) 基板をボンディングする方法
US20240017476A1 (en) Imprint apparatus, imprint method, and method of manufacturing article
JP2023537456A (ja) 基板をアライメントするための装置および方法