KR20050099877A - 불휘발성 메모리 소자 및 그 형성 방법 - Google Patents
불휘발성 메모리 소자 및 그 형성 방법 Download PDFInfo
- Publication number
- KR20050099877A KR20050099877A KR1020040025095A KR20040025095A KR20050099877A KR 20050099877 A KR20050099877 A KR 20050099877A KR 1020040025095 A KR1020040025095 A KR 1020040025095A KR 20040025095 A KR20040025095 A KR 20040025095A KR 20050099877 A KR20050099877 A KR 20050099877A
- Authority
- KR
- South Korea
- Prior art keywords
- semiconductor
- pattern
- layer
- film
- forming
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 240
- 238000003860 storage Methods 0.000 claims abstract description 68
- 230000005641 tunneling Effects 0.000 claims abstract description 51
- 230000000903 blocking effect Effects 0.000 claims abstract description 34
- 239000004065 semiconductor Substances 0.000 claims description 403
- 239000000758 substrate Substances 0.000 claims description 149
- 238000002955 isolation Methods 0.000 claims description 95
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 82
- 229910052710 silicon Inorganic materials 0.000 claims description 82
- 239000010703 silicon Substances 0.000 claims description 82
- 239000000463 material Substances 0.000 claims description 75
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 59
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 51
- 238000005530 etching Methods 0.000 claims description 42
- 238000005468 ion implantation Methods 0.000 claims description 36
- 150000002500 ions Chemical class 0.000 claims description 34
- 239000012535 impurity Substances 0.000 claims description 33
- 150000004767 nitrides Chemical class 0.000 claims description 22
- 230000015572 biosynthetic process Effects 0.000 claims description 15
- 230000003647 oxidation Effects 0.000 claims description 9
- 238000007254 oxidation reaction Methods 0.000 claims description 9
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 7
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- 239000002184 metal Substances 0.000 claims description 6
- 229910052751 metal Inorganic materials 0.000 claims description 6
- 239000002159 nanocrystal Substances 0.000 claims description 6
- 239000002096 quantum dot Substances 0.000 claims description 6
- 238000010438 heat treatment Methods 0.000 claims description 5
- 238000000059 patterning Methods 0.000 claims description 5
- 239000010408 film Substances 0.000 description 184
- 239000011810 insulating material Substances 0.000 description 7
- 238000000206 photolithography Methods 0.000 description 7
- 238000004140 cleaning Methods 0.000 description 6
- 230000010354 integration Effects 0.000 description 5
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000003071 parasitic effect Effects 0.000 description 3
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- LPQOADBMXVRBNX-UHFFFAOYSA-N ac1ldcw0 Chemical compound Cl.C1CN(C)CCN1C1=C(F)C=C2C(=O)C(C(O)=O)=CN3CCSC1=C32 LPQOADBMXVRBNX-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- 230000005689 Fowler Nordheim tunneling Effects 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 230000003064 anti-oxidating effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000010030 laminating Methods 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 125000006850 spacer group Chemical class 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B62—LAND VEHICLES FOR TRAVELLING OTHERWISE THAN ON RAILS
- B62D—MOTOR VEHICLES; TRAILERS
- B62D15/00—Steering not otherwise provided for
- B62D15/02—Steering position indicators ; Steering position determination; Steering aids
- B62D15/0205—Mechanical indicators, e.g. in or near steering wheel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66825—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66833—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78645—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/30—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/30—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B69/00—Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B60—VEHICLES IN GENERAL
- B60Y—INDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
- B60Y2400/00—Special features of vehicle units
- B60Y2400/70—Gearings
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B60—VEHICLES IN GENERAL
- B60Y—INDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
- B60Y2410/00—Constructional features of vehicle sub-units
- B60Y2410/10—Housings
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B60—VEHICLES IN GENERAL
- B60Y—INDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
- B60Y2410/00—Constructional features of vehicle sub-units
- B60Y2410/102—Shaft arrangements; Shaft supports, e.g. bearings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7853—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
- H01L29/7854—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Theoretical Computer Science (AREA)
- Mathematical Physics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Combustion & Propulsion (AREA)
- Transportation (AREA)
- Mechanical Engineering (AREA)
- Non-Volatile Memory (AREA)
- Semiconductor Memories (AREA)
Abstract
Description
Claims (39)
- 반도체 기판에 연결되고 구멍을 가지는 반도체 핀;상기 구멍위의 반도체 핀의 양측면들 및 상부면, 상기 구멍아래의 반도체 핀의 양측면들, 그리고 상기 구멍을 정의하는 반도체 핀의 내부면들 상에 터널링 절연막을 사이에 두고 형성된 전하저장막;상기 전하저장막 상에 블록킹 절연막을 사이에 두고 형성된 게이트 전극을 포함하는 것을 특징으로 하는 반도체 메모리 소자.
- 제1항에 있어서,상기 전하저장막은 나노 크리스탈, 퀀텀 닷, 실리콘, 실리콘-게르마늄, 금속막, 또는 질화막으로 형성되는 것을 특징으로 하는 반도체 메모리 소자.
- 제1항에 있어서,상기 반도체 핀은, 상기 구멍의 바닥면을 정의하며 상기 반도체 기판으로부터 형성된 제1반도체 패턴; 상기 제1반도체 패턴상에 적층되고 상기 구멍의 측면들을 정의하는 제2 패턴; 상기 제2 패턴상에 적층되고 상기 구멍의 상부면을 정의하는 제3반도체 패턴을 포함하는 것을 특징으로 하는 반도체 메모리 소자.
- 제3항에 있어서,상기 반도체 기판, 상기 제1반도체 패턴 및 상기 제3반도체 패턴은 동일한 물질이고, 상기 제2 패턴은 상기 제1반도체 패턴 및 상기 제3반도체 패턴에 대해서 식각 선택비를 가지는 제2반도체 패턴인 것을 특징으로 하는 특징으로 하는 반도체 메모리 소자.
- 제3항에 있어서,상기 반도체 기판, 상기 제1반도체 패턴 및 상기 제3반도체 패턴 동일한 물질이고, 상기 제2 패턴은 매몰 산화막 패턴인 것을 특징으로 하는 반도체 메모리 소자.
- 제4항에 있어서,상기 반도체 핀은 상기 제3반도체 패턴상에 적어도 1회 이상 반복되어 적층된 상기 제2반도체 패턴 및 상기 제3반도체 패턴을 더 포함하여, 상기 반도체 핀은 상기 제2반도체 패턴들에 형성된 수직으로 정렬된 다수 개의 구멍들을 포함하는 것을 특징으로 하는 반도체 메모리 소자.
- 제6항에 있어서,상기 제1반도체 패턴과 접하는 매몰 산화막 패턴을 더 포함하는 것을 특징으로 하는 반도체 메모리 소자.
- 제4항 내지 제7항 중 어느 한 항에 있어서,상기 반도체 기판, 상기 제1반도체 패턴 및 상기 제3반도체 패턴은 실리콘으로 이루어지고, 상기 제2반도체 패턴은 실리콘-게르마늄으로 이루어진 것을 특징으로 하는 반도체 메모리 소자.
- 반도체 기판에 연결되고 구멍을 가지는 반도체 핀;상기 구멍위의 반도체 핀의 양측면들 및 상부면, 상기 구멍아래의 반도체 핀의 측면들, 그리고 상기 구멍을 정의하는 반도체 핀의 내부면들 상에 형성된 터널링 절연막;상기 구멍아래의 반도체 핀의 측면들, 상기 구멍위의 반도체 핀의 측면들 및 상기 구멍을 정의하는 반도체 핀의 내부면들 상의 터널링 절연막 상에 형성된 전하저장막;상기 구멍위의 반도체 핀의 상부면 상의 터널링 절연막 및 상기 전하저장막 상에 형성되고 상기 구멍을 채우는 블록킹 절연막;상기 구멍밖의 블록킹 절연막 상에 형성된 게이트 전극을 포함하는 것을 특징으로 하는 반도체 메모리 소자.
- 제9항에 있어서,상기 전하저장막은 질화막으로 구성되고 상기 블록킹 절연막은 산화막으로 구성되는 것을 특징으로 하는 반도체 메모리 소자.
- 제9항 또는 제10항에 있어서,상기 반도체 핀은 상기 구멍의 바닥면을 정의하며 상기 반도체 기판으로부터 형성된 제1반도체 패턴; 상기 제1반도체 패턴상에 적층되고 상기 구멍의 측면들을 정의하는 제2 패턴; 상기 제2 패턴상에 적층되고 상기 구멍의 상부면을 정의하는 제3반도체 패턴을 포함하는 것을 특징으로 하는 반도체 메모리 소자.
- 제11항에 있어서,상기 반도체 기판, 상기 제1반도체 패턴 및 상기 제3반도체 패턴은 동일한 물질이고, 상기 제2 패턴은 상기 제1반도체 패턴 및 상기 제3반도체 패턴에 대해서 식각 선택비를 가지는 제2반도체 패턴인 것을 특징으로 하는 특징으로 하는 반도체 메모리 소자.
- 제11항에 있어서,상기 반도체 기판, 상기 제1반도체 패턴 및 상기 제3반도체 패턴 동일한 물질이고, 상기 제2 패턴은 매몰 산화막 패턴인 것을 특징으로 하는 반도체 메모리 소자.
- 반도체 기판에 연결되고 구멍을 가지는 반도체 핀을 형성하고;상기 구멍위의 반도체 핀의 양측면들 및 상부면, 상기 구멍아래의 반도체 핀의 측면들, 그리고 상기 구멍을 정의하는 반도체 핀의 내부면들 상에 터널링 절연막을 형성하고;상기 터널링 절연막 상에 전하저장막을 형성하고;상기 전하저장막 상에 블록킹 절연막을 형성하고;상기 블록킹 절연막 상에 게이트 전극을 형성하는 것을 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제14항에 있어서,상기 반도체 기판에 연결되고 구멍을 가지는 반도체 핀을 형성하는 것은:상기 반도체 기판상에 적어도 1회 이상 교호적으로 적층되는 제2반도체층 및 제3반도체층을 형성하고;상기 교호적으로 적층된 제2반도체층 및 제3반도체층 그리고 상기 반도체 기판의 일부 두께를 식각하여 상기 반도체 기판으로부터 형성된 제1반도체 패턴, 상기 제2반도체층으로부터 형성된 제2반도체 패턴 및 상기 제3반도체층으로부터 형성된 제3반도체 패턴으로 이루어진 반도체 핀을 형성하고;상기 반도체 핀의 측면들을 감싸는 소자분리막을 형성하고;상기 반도체 핀 및 상기 소자분리막 상에 상기 반도체 핀 및 상기 소자분리막의 일부분을 노출시키는 홈을 가지는 물질막 패턴을 형성하고;상기 홈에 의해 노출된 소자분리막을 적어도 상기 제1반도체 패턴이 노출될 때까지 식각하고;상기 홈아래에 노출된 상기 제2반도체 패턴을 제거하여 상기 홈아래에 정렬되며 상기 제2반도체 패턴에 위치하는 구멍을 형성하는 것을 포함하여 이루어지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제14항 또는 제15항에 있어서,상기 전하저장막은 나노 크리스탈, 퀀텀 닷, 실리콘, 실리콘-게르마늄, 금속막, 또는 질화막으로 형성되는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제14항 또는 제15항에 있어서,상기 반도체 기판 및 상기 제3반도체층은 동일한 물질이고, 상기 제2반도체층은 상기 반도체 기판 및 상기 제3반도체층에 대해서 식각 선택비를 가지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제15항에 있어서,상기 구멍을 형성한 후 상기 물질막 패턴을 이온주입 마스크로 사용하여 상기 홈을 통해서 상기 제3반도체 패턴에 채널을 위한 이온주입 공정을 진행하여 불순물 이온을 주입하는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제15항에 있어서,상기 반도체 핀 및 소자분리막 상에 상기 반도체 핀 및 상기 소자분리막의 일부분을 노출시키는 홈을 가지는 물질막 패턴을 형성하는 것은;상기 반도체 핀 및 소자분리막을 가로지르는 더미 게이트 라인을 형성하고;상기 더미 게이트 라인의 측면을 둘러싸는 상기 물질막 패턴을 형성하고;상기 더미 게이트 라인을 제거하여 상기 더미 게이트 라인에 대응하는 상기 홈을 형성하는 것을 포함하여 이루어지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제19항에 있어서,상기 더미 게이트 라인을 형성한 후 그 양측의 제3반도체 패턴 및 제2반도체 패턴에 소오스/드레인을 위한 불순물 이온을 주입하는 것을 더 포함하며,상기 불순물 이온이 주입된 상기 더미 게이트 라인 양측의 제2반도체 패턴이 상기 홈아래의 제2반도체 패턴에 대해서 상대적으로 낮은 식각율을 가지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제20항에 있어서,상기 구멍을 형성한 후 상기 물질막 패턴을 이온주입 마스크로 사용하여 상기 홈을 통해서 상기 제3반도체 패턴에 채널을 위한 이온주입 공정을 진행하여 불순물 이온을 주입하는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제15항에 있어서,상기 홈에 의해 노출된 소자분리막을 적어도 상기 제1반도체 패턴이 노출될 때까지 식각한 후 상기 터널링 절연막을 형성하기 전에, 상기 반도체 핀의 가장자리의 뾰족한 부분을 둥글게 하기 위해서 열산화 공정 또는 수소 분위기에서의 열처리 공정을 더 진행하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제18항 내지 제22항 중 어느 한 항에 있어서,상기 제2반도체층 및 상기 제3반도체층은 1회 적층되며,상기 전하저장막을 형성한 후 에치백 공정을 진행하여 상기 제3반도체 패턴 상부면상의 전하저장막을 제거하는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리소자 형성 방법.
- 제15항에 있어서,상기 반도체 기판상에 적어도 1회 이상 교호적으로 제2반도체층 및 제3반도체층을 적층하기 전에 매몰 산화막을 더 형성하며,상기 물질막 패턴을 형성한 후 상기 구멍을 형성하기 전에,상기 구멍 아래의 제3반도체 패턴 및 제2반도체 패턴에 소오스/드레인을 위한 불순물 이온을 주입하는 것을 더 포함하며,상기 불순물 이온이 주입된 상기 더미 게이트 라인 양측의 제2반도체 패턴이 상기 홈아래의 제2반도체 패턴에 대해서 상대적으로 낮은 식각율을 가지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제24항에 있어서,상기 구멍을 형성한 후 상기 물질막 패턴을 이온주입 마스크로 사용하여 상기 홈을 통해서 상기 제3반도체 패턴에 채널을 위한 이온주입 공정을 진행하여 불순물 이온을 주입하는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제14항에 있어서,상기 반도체 기판에 구멍을 가지는 반도체 핀을 형성하는 것은:상기 반도체 기판상에 제2절연층 및 제3반도체층을 형성하고;상기 제3반도체층, 제2절연층 그리고 상기 반도체 기판의 일부 두께를 식각하여 상기 반도체 기판으로부터 형성된 제1반도체 패턴, 상기 제2절연층으로부터 형성된 제2절연층 패턴 및 상기 제3반도체층으로부터 형성된 제3반도체 패턴으로 이루어진 반도체 핀을 반도체 핀을 형성하고;상기 반도체 핀의 측면들을 감싸는 소자분리막을 형성하고;상기 반도체 핀 및 상기 소자분리막 상에 상기 반도체 핀 및 상기 소자분리막의 일부분을 노출시키는 홈을 가지는 물질막 패턴을 형성하고;상기 홈에 의해 노출된 소자분리막을 적어도 상기 제1반도체 패턴이 노출될 때까지 식각하고;상기 홈아래에 노출된 상기 제2절연층 패턴을 제거하여 상기 홈아래에 정렬되며 상기 제2절연층 패턴에 위치하는 구멍을 형성하는 것을 포함하여 이루어지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제26항에 있어서,상기 홈을 가지는 물질막 패턴을 형성한 후, 상기 홈을 통해서 상기 제3반도체 패턴 및 상기 제2절연층 패턴에 채널을 위한 이온주입 공정을 진행하여 불순물 이온을 주입하는 것을 더 포함하되,상기 불순물 이온이 주입된 상기 홈아래의 제2절연층 패턴이 상기 홈 양측의 제2절연층 패턴에 대해서 상대적으로 높은 식각율을 가지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제27항에 있어서,상기 게이트 전극을 형성한 후 소오스/드레인 형성을 위한 이온 주입 공정을 진행하는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제26항에 있어서,상기 홈에 의해 노출된 소자분리막을 적어도 상기 제1반도체 패턴이 노출될 때까지 식각한 후 상기 터널링 절연막을 형성하기 전에, 상기 반도체 핀의 가장자리의 뾰족한 부분을 둥글게 하기 위해서 열산화 공정 또는 수소 분위기에서의 열처리 공정을 더 진행하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제26항에 있어서,상기 전하저장막을 형성한 후 에치백 공정을 진행하여 상기 제3반도체 표면 상부면상의 전하저장막을 제거하는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리소자 형성 방법.
- 반도체 기판상에 형성된 캐핑막 패턴을 이용하여 노출된 반도체 기판을 소정깊이 식각한 후 소자분리막을 형성하여 상기 소자분리막 및 상기 캐핑막 패턴으로 둘러싸인 반도체 핀을 형성하고;상기 반도체 핀 및 소자분리막 상에 상기 반도체 핀 및 상기 소자분리막의 일부분을 노출시키는 홈을 가지는 물질막 패턴을 형성하고;상기 홈에 의해 노출된 소자분리막을 식각하여 상기 반도체 핀의 측면들을 노출시키고;노출된 반도체 핀의 측면들 및 상기 캐핑막 패턴상에 콘포말한 터널링 절연막, 전하저장막 및 블록킹 절연막을 순차적으로 형성하고;상기 홈을 채우도록 상기 블록킹 절연막 상에 게이트 전극막을 형성하는 것을 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법
- 제31항에 있어서,상기 전하저장막을 형성한 후 상기 블록킹 절연막을 형성하기 전에 에치백 공정을 더 진행하여 상기 반도체 핀 측면들에만 상기 전하저장막을 남기는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제31항 또는 제32항에 있어서,상기 홈을 가지는 물질막 패턴을 형성한 후, 상기 홈에 의해 노출된 캐핑막 패턴을 제거하여 상기 반도체 핀의 상부면을 노출시키는 것을 더 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제33항에 있어서,상기 전하저장막은 나노 크리스탈, 퀀텀 닷, 실리콘, 실리콘-게르마늄, 금속막, 또는 질화막으로 형성되는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제33항에 있어서,상기 반도체 핀의 측면들 또는 상부면 및 측면들을 노출시킨 후 터널링 절연막을 형성하기 전에 상기 반도체 핀의 가장자리의 뾰족한 부분을 둥글게 하기 위해서 열산화 공정 또는 수소 분위기에서의 열처리 공정을 더 진행하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제33항에 있어서,상기 반도체 핀의 측면들 또는 상부면 및 측면들을 노출시킨 후, 채널 형성을 위한 이온주입 공정을 더 진행하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제33항에 있어서,상기 반도체 핀 및 소자분리막 상에 상기 반도체 핀 및 상기 소자분리막의 일부분을 노출시키는 홈을 가지는 물질막 패턴을 형성하는 것은;상기 반도체 핀 및 소자분리막 상에 버퍼막 및 물질막을 형성하고;상기 물질막 및 상기 버퍼막을 차례로 패터닝하는 것을 포함하여 이루어지는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제33항에 있어서,상기 게이트 전극막을 패터닝하는 것을 더 포함하여 상기 반도체 핀의 측면들 상에만 남기는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
- 제33항에 있어서,상기 반도체 기판은 SOI 기판, GOI 기판, SGOI 기판, 긴장된 실리콘 기판을 포함하는 것을 특징으로 하는 반도체 메모리 소자 형성 방법.
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR10-2004-0025095A KR100528486B1 (ko) | 2004-04-12 | 2004-04-12 | 불휘발성 메모리 소자 및 그 형성 방법 |
US11/007,760 US7161206B2 (en) | 2004-04-12 | 2004-12-08 | Non-volatile memory devices |
US11/602,032 US7402493B2 (en) | 2004-04-12 | 2006-11-20 | Method for forming non-volatile memory devices |
US12/135,295 US7601592B2 (en) | 2004-04-12 | 2008-06-09 | Method for forming multi-gate non-volatile memory devices using a damascene process |
US12/266,201 US20090065850A1 (en) | 2004-04-12 | 2008-11-06 | Non-volatile memory devices |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR10-2004-0025095A KR100528486B1 (ko) | 2004-04-12 | 2004-04-12 | 불휘발성 메모리 소자 및 그 형성 방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20050099877A true KR20050099877A (ko) | 2005-10-17 |
KR100528486B1 KR100528486B1 (ko) | 2005-11-15 |
Family
ID=35061091
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR10-2004-0025095A KR100528486B1 (ko) | 2004-04-12 | 2004-04-12 | 불휘발성 메모리 소자 및 그 형성 방법 |
Country Status (2)
Country | Link |
---|---|
US (4) | US7161206B2 (ko) |
KR (1) | KR100528486B1 (ko) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100724561B1 (ko) * | 2005-12-20 | 2007-06-04 | 삼성전자주식회사 | 단일측벽 핀 전계효과트랜지스터를 갖는 반도체소자 및 그형성방법 |
KR100807220B1 (ko) * | 2007-02-01 | 2008-02-28 | 삼성전자주식회사 | 불휘발성 메모리 장치의 제조 방법 |
KR100886643B1 (ko) * | 2007-07-02 | 2009-03-04 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 및 그 제조방법 |
US8232170B2 (en) | 2006-03-09 | 2012-07-31 | Samsung Electronics Co., Ltd. | Methods for fabricating semiconductor devices with charge storage patterns |
Families Citing this family (132)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7301180B2 (en) * | 2001-06-18 | 2007-11-27 | Massachusetts Institute Of Technology | Structure and method for a high-speed semiconductor device having a Ge channel layer |
WO2003015142A2 (en) * | 2001-08-06 | 2003-02-20 | Massachusetts Institute Of Technology | Formation of planar strained layers |
US7138649B2 (en) * | 2001-08-09 | 2006-11-21 | Amberwave Systems Corporation | Dual-channel CMOS transistors with differentially strained channels |
AU2003238963A1 (en) * | 2002-06-07 | 2003-12-22 | Amberwave Systems Corporation | Semiconductor devices having strained dual channel layers |
KR100589058B1 (ko) * | 2004-03-16 | 2006-06-12 | 삼성전자주식회사 | 불휘발성 메모리 장치 및 이를 형성하기 위한 방법 |
US7371638B2 (en) * | 2004-05-24 | 2008-05-13 | Samsung Electronics Co., Ltd. | Nonvolatile memory cells having high control gate coupling ratios using grooved floating gates and methods of forming same |
KR100621628B1 (ko) * | 2004-05-31 | 2006-09-19 | 삼성전자주식회사 | 비휘발성 기억 셀 및 그 형성 방법 |
KR100555567B1 (ko) * | 2004-07-30 | 2006-03-03 | 삼성전자주식회사 | 다중가교채널 트랜지스터 제조 방법 |
KR100598109B1 (ko) * | 2004-10-08 | 2006-07-07 | 삼성전자주식회사 | 비휘발성 기억 소자 및 그 형성 방법 |
US7709334B2 (en) * | 2005-12-09 | 2010-05-04 | Macronix International Co., Ltd. | Stacked non-volatile memory device and methods for fabricating the same |
US7473589B2 (en) * | 2005-12-09 | 2009-01-06 | Macronix International Co., Ltd. | Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same |
US7087966B1 (en) * | 2005-05-18 | 2006-08-08 | International Business Machines Corporation | Double-Gate FETs (field effect transistors) |
JP4648096B2 (ja) * | 2005-06-03 | 2011-03-09 | 株式会社東芝 | 半導体装置の製造方法 |
AU2006276171A1 (en) * | 2005-07-22 | 2007-02-08 | Merck Sharp & Dohme Corp. | Inhibitors of checkpoint kinases |
US7352018B2 (en) * | 2005-07-22 | 2008-04-01 | Infineon Technologies Ag | Non-volatile memory cells and methods for fabricating non-volatile memory cells |
JP4867225B2 (ja) * | 2005-07-27 | 2012-02-01 | セイコーエプソン株式会社 | 半導体基板の製造方法及び、半導体装置の製造方法 |
KR100673016B1 (ko) * | 2005-12-06 | 2007-01-24 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
ATE475184T1 (de) * | 2005-12-22 | 2010-08-15 | Nxp Bv | Sonos-speicheranordnung mit verringerten kurzkanaleffekten |
DE102006001680B3 (de) * | 2006-01-12 | 2007-08-09 | Infineon Technologies Ag | Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung |
KR20070090375A (ko) * | 2006-03-02 | 2007-09-06 | 삼성전자주식회사 | 비휘발성 메모리 장치 및 그 형성 방법 |
US20070212832A1 (en) * | 2006-03-08 | 2007-09-13 | Freescale Semiconductor Inc. | Method for making a multibit transistor |
US8159018B2 (en) | 2006-04-26 | 2012-04-17 | Nxp B.V. | Non-volatile memory device |
KR100702315B1 (ko) * | 2006-05-10 | 2007-03-30 | 주식회사 하이닉스반도체 | 반도체 소자의 형성 방법 |
KR100745766B1 (ko) * | 2006-06-23 | 2007-08-02 | 삼성전자주식회사 | 네 개의 스토리지 노드막을 구비하는 비휘발성 메모리 소자및 그 동작 방법 |
JP2008042206A (ja) * | 2006-08-04 | 2008-02-21 | Samsung Electronics Co Ltd | メモリ素子及びその製造方法 |
KR100801065B1 (ko) * | 2006-08-04 | 2008-02-04 | 삼성전자주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
EP1892765A1 (en) * | 2006-08-23 | 2008-02-27 | INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) | Method for doping a fin-based semiconductor device |
KR100807227B1 (ko) * | 2006-09-12 | 2008-02-28 | 삼성전자주식회사 | 불휘발성 메모리 장치 및 이의 제조 방법 |
US20080090348A1 (en) * | 2006-09-28 | 2008-04-17 | Chang Peter L D | Gate-assisted silicon-on-insulator on bulk wafer and its application to floating body cell memory and transistors |
US8325530B2 (en) * | 2006-10-03 | 2012-12-04 | Macronix International Co., Ltd. | Cell operation methods using gate-injection for floating gate NAND flash memory |
US7811890B2 (en) | 2006-10-11 | 2010-10-12 | Macronix International Co., Ltd. | Vertical channel transistor structure and manufacturing method thereof |
US8772858B2 (en) * | 2006-10-11 | 2014-07-08 | Macronix International Co., Ltd. | Vertical channel memory and manufacturing method thereof and operating method using the same |
US8017463B2 (en) * | 2006-12-29 | 2011-09-13 | Intel Corporation | Expitaxial fabrication of fins for FinFET devices |
US7928426B2 (en) * | 2007-03-27 | 2011-04-19 | Intel Corporation | Forming a non-planar transistor having a quantum well channel |
KR20080087580A (ko) * | 2007-03-27 | 2008-10-01 | 삼성전자주식회사 | 비휘발성 메모리 소자의 제조 방법 |
US8779495B2 (en) * | 2007-04-19 | 2014-07-15 | Qimonda Ag | Stacked SONOS memory |
KR20080099460A (ko) * | 2007-05-09 | 2008-11-13 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 및 그 제조방법 |
US7795088B2 (en) * | 2007-05-25 | 2010-09-14 | Macronix International Co., Ltd. | Method for manufacturing memory cell |
US7855411B2 (en) * | 2007-05-25 | 2010-12-21 | Macronix International Co., Ltd. | Memory cell |
KR20080113966A (ko) * | 2007-06-26 | 2008-12-31 | 삼성전자주식회사 | 비휘발성 기억 장치 및 그 제조 방법 |
US7781825B2 (en) * | 2007-10-18 | 2010-08-24 | Macronix International Co., Ltd. | Semiconductor device and method for manufacturing the same |
EP2073256A1 (en) * | 2007-12-20 | 2009-06-24 | Interuniversitair Microelektronica Centrum vzw ( IMEC) | Method for fabricating a semiconductor device and the semiconductor device made thereof |
US7645993B2 (en) * | 2007-12-28 | 2010-01-12 | Spansion, Llc | Arrayed neutron detector with multi shielding allowing for discrimination between radiation types |
US7560358B1 (en) * | 2007-12-31 | 2009-07-14 | Intel Corporation | Method of preparing active silicon regions for CMOS or other devices |
WO2009107031A1 (en) * | 2008-02-26 | 2009-09-03 | Nxp B.V. | Method for manufacturing semiconductor device and semiconductor device |
JP2009238874A (ja) * | 2008-03-26 | 2009-10-15 | Toshiba Corp | 半導体メモリ及びその製造方法 |
US7833887B2 (en) * | 2008-06-24 | 2010-11-16 | Intel Corporation | Notched-base spacer profile for non-planar transistors |
KR20100002708A (ko) * | 2008-06-30 | 2010-01-07 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
US7851790B2 (en) * | 2008-12-30 | 2010-12-14 | Intel Corporation | Isolated Germanium nanowire on Silicon fin |
FR2943832B1 (fr) * | 2009-03-27 | 2011-04-22 | Commissariat Energie Atomique | Procede de realisation d'un dispositif memoire a nanoparticules conductrices |
FR2943850B1 (fr) | 2009-03-27 | 2011-06-10 | Commissariat Energie Atomique | Procede de realisation d'interconnexions electriques a nanotubes de carbone |
US8053299B2 (en) * | 2009-04-17 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
CN101894864B (zh) * | 2009-05-22 | 2011-12-07 | 中芯国际集成电路制造(上海)有限公司 | 双栅极场效应晶体管 |
KR101089659B1 (ko) * | 2009-06-03 | 2011-12-06 | 서울대학교산학협력단 | 돌출된 바디를 저장노드로 하는 메모리 셀 및 그 제조방법 |
US8993428B2 (en) * | 2009-10-05 | 2015-03-31 | International Business Machines Corporation | Structure and method to create a damascene local interconnect during metal gate deposition |
US8101486B2 (en) * | 2009-10-07 | 2012-01-24 | Globalfoundries Inc. | Methods for forming isolated fin structures on bulk semiconductor material |
CN102117829B (zh) * | 2009-12-30 | 2012-11-21 | 中国科学院微电子研究所 | 鳍式晶体管结构及其制作方法 |
US8399310B2 (en) | 2010-10-29 | 2013-03-19 | Freescale Semiconductor, Inc. | Non-volatile memory and logic circuit process integration |
US8753942B2 (en) * | 2010-12-01 | 2014-06-17 | Intel Corporation | Silicon and silicon germanium nanowire structures |
DE102010064283B4 (de) * | 2010-12-28 | 2012-12-27 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung |
US8629483B2 (en) * | 2011-03-14 | 2014-01-14 | Nanya Technology Corp. | Locally 2 sided CHC DRAM access transistor structure |
JP5271372B2 (ja) * | 2011-03-18 | 2013-08-21 | 株式会社東芝 | 半導体装置の製造方法 |
KR20120129608A (ko) * | 2011-05-20 | 2012-11-28 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
US8815677B2 (en) * | 2011-06-14 | 2014-08-26 | Intermolecular, Inc. | Method of processing MIM capacitors to reduce leakage current |
CN102903750B (zh) * | 2011-07-27 | 2015-11-25 | 中国科学院微电子研究所 | 一种半导体场效应晶体管结构及其制备方法 |
JP5646416B2 (ja) * | 2011-09-01 | 2014-12-24 | 株式会社東芝 | 半導体装置の製造方法 |
US20130056641A1 (en) * | 2011-09-01 | 2013-03-07 | Massachusetts Institute Of Technology | Solid-state neutron detector with gadolinium converter |
US8906764B2 (en) | 2012-01-04 | 2014-12-09 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and logic integration |
US9281378B2 (en) | 2012-01-24 | 2016-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin recess last process for FinFET fabrication |
US9466696B2 (en) | 2012-01-24 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods for forming the same |
US9171925B2 (en) | 2012-01-24 | 2015-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate devices with replaced-channels and methods for forming the same |
US8853037B2 (en) * | 2012-03-14 | 2014-10-07 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits |
US8951863B2 (en) | 2012-04-06 | 2015-02-10 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and logic integration |
US9087913B2 (en) | 2012-04-09 | 2015-07-21 | Freescale Semiconductor, Inc. | Integration technique using thermal oxide select gate dielectric for select gate and apartial replacement gate for logic |
US8617961B1 (en) * | 2012-07-18 | 2013-12-31 | International Business Machines Corporation | Post-gate isolation area formation for fin field effect transistor device |
TWI469329B (zh) * | 2012-08-08 | 2015-01-11 | Macronix Int Co Ltd | 半導體結構及其製造方法與操作方法 |
CN103579248B (zh) * | 2012-08-09 | 2016-02-10 | 旺宏电子股份有限公司 | 半导体结构及其制造方法与操作方法 |
US9224611B2 (en) * | 2012-08-09 | 2015-12-29 | Macronix International Co., Ltd. | Semiconductor structure and manufacturing method and operating method of the same |
US8823059B2 (en) * | 2012-09-27 | 2014-09-02 | Intel Corporation | Non-planar semiconductor device having group III-V material active region with multi-dielectric gate stack |
US9041106B2 (en) * | 2012-09-27 | 2015-05-26 | Intel Corporation | Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates |
US20140091279A1 (en) * | 2012-09-28 | 2014-04-03 | Jessica S. Kachian | Non-planar semiconductor device having germanium-based active region with release etch-passivation surface |
CN103779210A (zh) * | 2012-10-18 | 2014-05-07 | 中国科学院微电子研究所 | FinFET鳍状结构的制造方法 |
US9111865B2 (en) | 2012-10-26 | 2015-08-18 | Freescale Semiconductor, Inc. | Method of making a logic transistor and a non-volatile memory (NVM) cell |
CN103811343B (zh) * | 2012-11-09 | 2016-12-21 | 中国科学院微电子研究所 | FinFET及其制造方法 |
US9349837B2 (en) | 2012-11-09 | 2016-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Recessing STI to increase Fin height in Fin-first process |
US9443962B2 (en) | 2012-11-09 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Recessing STI to increase fin height in fin-first process |
US8889497B2 (en) * | 2012-12-28 | 2014-11-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9006786B2 (en) * | 2013-07-03 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of semiconductor device |
US9147682B2 (en) | 2013-01-14 | 2015-09-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin spacer protected source and drain regions in FinFETs |
US9006093B2 (en) | 2013-06-27 | 2015-04-14 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and high voltage transistor integration |
US9064900B2 (en) * | 2013-07-08 | 2015-06-23 | Globalfoundries Inc. | FinFET method comprising high-K dielectric |
US20150024584A1 (en) * | 2013-07-17 | 2015-01-22 | Global Foundries, Inc. | Methods for forming integrated circuits with reduced replacement metal gate height variability |
US8877585B1 (en) | 2013-08-16 | 2014-11-04 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) cell, high voltage transistor, and high-K and metal gate transistor integration |
US8871598B1 (en) | 2013-07-31 | 2014-10-28 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology |
US9129996B2 (en) | 2013-07-31 | 2015-09-08 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) cell and high-K and metal gate transistor integration |
US9082837B2 (en) | 2013-08-08 | 2015-07-14 | Freescale Semiconductor, Inc. | Nonvolatile memory bitcell with inlaid high k metal select gate |
US9082650B2 (en) | 2013-08-21 | 2015-07-14 | Freescale Semiconductor, Inc. | Integrated split gate non-volatile memory cell and logic structure |
US9252246B2 (en) | 2013-08-21 | 2016-02-02 | Freescale Semiconductor, Inc. | Integrated split gate non-volatile memory cell and logic device |
US9275864B2 (en) | 2013-08-22 | 2016-03-01 | Freescale Semiconductor,Inc. | Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates |
US8932925B1 (en) | 2013-08-22 | 2015-01-13 | Freescale Semiconductor, Inc. | Split-gate non-volatile memory (NVM) cell and device structure integration |
US8901632B1 (en) | 2013-09-30 | 2014-12-02 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and high-K and metal gate integration using gate-last methodology |
US9136129B2 (en) | 2013-09-30 | 2015-09-15 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and high-k and metal gate integration using gate-last methodology |
US9129855B2 (en) | 2013-09-30 | 2015-09-08 | Freescale Semiconductor, Inc. | Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology |
US9231077B2 (en) | 2014-03-03 | 2016-01-05 | Freescale Semiconductor, Inc. | Method of making a logic transistor and non-volatile memory (NVM) cell |
US9472418B2 (en) | 2014-03-28 | 2016-10-18 | Freescale Semiconductor, Inc. | Method for forming a split-gate device |
US9112056B1 (en) | 2014-03-28 | 2015-08-18 | Freescale Semiconductor, Inc. | Method for forming a split-gate device |
US9252152B2 (en) | 2014-03-28 | 2016-02-02 | Freescale Semiconductor, Inc. | Method for forming a split-gate device |
US9698240B2 (en) * | 2014-03-31 | 2017-07-04 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and formation thereof |
US10026658B2 (en) * | 2014-04-14 | 2018-07-17 | Taiwan Semiconductor Manufacturing Company Limited | Methods for fabricating vertical-gate-all-around transistor structures |
US9257445B2 (en) | 2014-05-30 | 2016-02-09 | Freescale Semiconductor, Inc. | Method of making a split gate non-volatile memory (NVM) cell and a logic transistor |
US9379222B2 (en) | 2014-05-30 | 2016-06-28 | Freescale Semiconductor, Inc. | Method of making a split gate non-volatile memory (NVM) cell |
US9343314B2 (en) | 2014-05-30 | 2016-05-17 | Freescale Semiconductor, Inc. | Split gate nanocrystal memory integration |
US9343529B2 (en) * | 2014-09-05 | 2016-05-17 | International Business Machines Corporation | Method of formation of germanium nanowires on bulk substrates |
CN105632923B (zh) * | 2014-10-28 | 2018-11-16 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9589979B2 (en) * | 2014-11-19 | 2017-03-07 | Macronix International Co., Ltd. | Vertical and 3D memory devices and methods of manufacturing the same |
CN105789048A (zh) * | 2014-12-22 | 2016-07-20 | 中国科学院微电子研究所 | 半导体器件制造方法 |
US9412848B1 (en) * | 2015-02-06 | 2016-08-09 | Globalfoundries Inc. | Methods of forming a complex GAA FET device at advanced technology nodes |
CN106033729B (zh) * | 2015-03-11 | 2019-04-02 | 上海凯世通半导体股份有限公司 | FinFET的掺杂方法 |
US9590038B1 (en) * | 2015-10-23 | 2017-03-07 | Samsung Electronics Co., Ltd. | Semiconductor device having nanowire channel |
US9570580B1 (en) | 2015-10-30 | 2017-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Replacement gate process for FinFET |
JP6594198B2 (ja) * | 2015-12-28 | 2019-10-23 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US10825681B2 (en) * | 2016-08-13 | 2020-11-03 | Applied Materials, Inc. | 3D CTF integration using hybrid charge trap layer of sin and self aligned SiGe nanodot |
WO2018125112A1 (en) * | 2016-12-29 | 2018-07-05 | Intel Corporation | Released group iv channel body over distinct group iv sub-fin |
US10411027B2 (en) * | 2017-10-19 | 2019-09-10 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with memory cells and method for producing the same |
US10510610B2 (en) | 2018-03-28 | 2019-12-17 | Varian Semiconductor Equipment Associates, Inc. | Structure and method of forming fin device having improved fin liner |
US10840254B2 (en) | 2018-05-22 | 2020-11-17 | Macronix International Co., Ltd. | Pitch scalable 3D NAND |
US10910488B2 (en) * | 2018-06-26 | 2021-02-02 | Intel Corporation | Quantum dot devices with fins and partially wrapped gates |
US10727240B2 (en) * | 2018-07-05 | 2020-07-28 | Silicon Store Technology, Inc. | Split gate non-volatile memory cells with three-dimensional FinFET structure |
US10937794B2 (en) * | 2018-12-03 | 2021-03-02 | Silicon Storage Technology, Inc. | Split gate non-volatile memory cells with FinFET structure and HKMG memory and logic gates, and method of making same |
CN111785631B (zh) * | 2019-04-03 | 2023-10-24 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其形成方法 |
US11600628B2 (en) * | 2020-01-15 | 2023-03-07 | Globalfoundries U.S. Inc. | Floating gate memory cell and memory array structure |
US11362100B2 (en) | 2020-03-24 | 2022-06-14 | Silicon Storage Technology, Inc. | FinFET split gate non-volatile memory cells with enhanced floating gate to floating gate capacitive coupling |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6323514B1 (en) * | 1999-07-06 | 2001-11-27 | Micron Technology, Inc. | Container structure for floating gate memory device and method for forming same |
US6225162B1 (en) * | 1999-07-06 | 2001-05-01 | Taiwan Semiconductor Manufacturing Company | Step-shaped floating poly-si gate to improve gate coupling ratio for flash memory application |
JP2002043566A (ja) * | 2000-07-27 | 2002-02-08 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
US6413802B1 (en) * | 2000-10-23 | 2002-07-02 | The Regents Of The University Of California | Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture |
KR100431489B1 (ko) * | 2001-09-04 | 2004-05-12 | 한국과학기술원 | 플래쉬 메모리 소자 및 제조방법 |
KR100420070B1 (ko) | 2002-02-01 | 2004-02-25 | 한국과학기술원 | 이중-게이트 플래쉬 메모리소자 및 그 제조방법 |
US20030151077A1 (en) * | 2002-02-13 | 2003-08-14 | Leo Mathew | Method of forming a vertical double gate semiconductor device and structure thereof |
US6657252B2 (en) * | 2002-03-19 | 2003-12-02 | International Business Machines Corporation | FinFET CMOS with NVRAM capability |
TWI242215B (en) * | 2002-04-16 | 2005-10-21 | Macronix Int Co Ltd | Nonvolatile memory cell for prevention from second bit effect |
US6838322B2 (en) * | 2003-05-01 | 2005-01-04 | Freescale Semiconductor, Inc. | Method for forming a double-gated semiconductor device |
US6921700B2 (en) * | 2003-07-31 | 2005-07-26 | Freescale Semiconductor, Inc. | Method of forming a transistor having multiple channels |
-
2004
- 2004-04-12 KR KR10-2004-0025095A patent/KR100528486B1/ko active IP Right Grant
- 2004-12-08 US US11/007,760 patent/US7161206B2/en active Active
-
2006
- 2006-11-20 US US11/602,032 patent/US7402493B2/en active Active
-
2008
- 2008-06-09 US US12/135,295 patent/US7601592B2/en active Active
- 2008-11-06 US US12/266,201 patent/US20090065850A1/en not_active Abandoned
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100724561B1 (ko) * | 2005-12-20 | 2007-06-04 | 삼성전자주식회사 | 단일측벽 핀 전계효과트랜지스터를 갖는 반도체소자 및 그형성방법 |
US8232170B2 (en) | 2006-03-09 | 2012-07-31 | Samsung Electronics Co., Ltd. | Methods for fabricating semiconductor devices with charge storage patterns |
KR100807220B1 (ko) * | 2007-02-01 | 2008-02-28 | 삼성전자주식회사 | 불휘발성 메모리 장치의 제조 방법 |
KR100886643B1 (ko) * | 2007-07-02 | 2009-03-04 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 및 그 제조방법 |
Also Published As
Publication number | Publication date |
---|---|
US20080242075A1 (en) | 2008-10-02 |
US20050227435A1 (en) | 2005-10-13 |
US7161206B2 (en) | 2007-01-09 |
US7402493B2 (en) | 2008-07-22 |
US20070063263A1 (en) | 2007-03-22 |
US7601592B2 (en) | 2009-10-13 |
KR100528486B1 (ko) | 2005-11-15 |
US20090065850A1 (en) | 2009-03-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100528486B1 (ko) | 불휘발성 메모리 소자 및 그 형성 방법 | |
US8344385B2 (en) | Vertical-type semiconductor device | |
KR100674958B1 (ko) | 자기 정렬된 콘트롤 게이트를 갖는 스플릿 타입 플래쉬 메모리 소자 및 그 제조방법 | |
US7205198B2 (en) | Method of making a bi-directional read/program non-volatile floating gate memory cell | |
TWI726125B (zh) | 半導體裝置及其製造方法 | |
US7151021B2 (en) | Bi-directional read/program non-volatile floating gate memory cell and array thereof, and method of formation | |
KR102397576B1 (ko) | 다양한 절연 게이트 산화물들을 갖는 분리형 게이트 플래시 메모리 셀, 및 이를 형성하는 방법 | |
KR20080033100A (ko) | 수직 채널 메모리, 이의 제조 방법 및 이를 이용하는 구동방법 | |
TW201436113A (zh) | 記憶裝置與其形成方法 | |
JP2006005357A (ja) | スプリットゲート型フラッシュメモリ素子及びその製造方法 | |
CN111133515B (zh) | 制造具有擦除栅极的分裂栅极闪存存储器单元的方法 | |
KR20070090375A (ko) | 비휘발성 메모리 장치 및 그 형성 방법 | |
US11121141B2 (en) | Semiconductor structure and method for forming the same | |
KR19990072300A (ko) | 엔브이램셀및엔브이램메모리제조방법 | |
KR20080039786A (ko) | 소스 측이 소거된 부동 게이트 메모리 셀의 반도체 메모리배열을 형성하는 자기 정렬 방법 및 그에 의해 제작된메모리 배열 | |
JP2003243618A (ja) | 半導体装置の製造方法 | |
JP4093965B2 (ja) | メモリセルを製作する方法 | |
US6979859B2 (en) | Flash memory cell and fabrication method | |
KR100593599B1 (ko) | 반도체 소자의 제조 방법 | |
KR100655283B1 (ko) | 이이피롬 장치 및 그 제조 방법 | |
KR100654359B1 (ko) | 비휘발성 메모리 소자 제조 방법 | |
JP2004047802A (ja) | 半導体装置の製造方法 | |
KR20230031334A (ko) | 워드 라인 게이트 위에 배치된 소거 게이트를 갖는 스플릿 게이트, 2-비트 비휘발성 메모리 셀, 및 그 제조 방법 | |
KR101037638B1 (ko) | 수직채널에 더블 스플릿 게이트를 갖는 메모리 소자 및 그 제조방법 | |
KR20060005168A (ko) | 메모리 소자들 및 그 형성 방법들 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121031 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20131031 Year of fee payment: 9 |
|
FPAY | Annual fee payment |
Payment date: 20141031 Year of fee payment: 10 |
|
FPAY | Annual fee payment |
Payment date: 20151030 Year of fee payment: 11 |
|
FPAY | Annual fee payment |
Payment date: 20181031 Year of fee payment: 14 |
|
FPAY | Annual fee payment |
Payment date: 20191031 Year of fee payment: 15 |