KR20010023887A - 기화 및 증착 장치 및 방법 - Google Patents

기화 및 증착 장치 및 방법 Download PDF

Info

Publication number
KR20010023887A
KR20010023887A KR1020007002570A KR20007002570A KR20010023887A KR 20010023887 A KR20010023887 A KR 20010023887A KR 1020007002570 A KR1020007002570 A KR 1020007002570A KR 20007002570 A KR20007002570 A KR 20007002570A KR 20010023887 A KR20010023887 A KR 20010023887A
Authority
KR
South Korea
Prior art keywords
gas
disposed
chamber
process chamber
cover
Prior art date
Application number
KR1020007002570A
Other languages
English (en)
Inventor
새손 소메크
준 짜오
챨리스 돈페스트
탈렉스 사조토
레오니드 셀리우틴
빈센트 쿠
크리스 왕
프랭크 창
포 탕
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010023887A publication Critical patent/KR20010023887A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S261/00Gas and liquid contact apparatus
    • Y10S261/65Vaporizers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 액체 전구체를 기화시키고 적절한 기판 상에 박막을 증착시키는 장치 및 방법에 관한 것이다. 보다 상세하게는, 고용량 다이나믹 메모리 모듈에서 유용한 집적 회로 캐패시터를 제조하기 위해 바륨 스트론튬 티타네이트(barium strontium titanate, BST) 박막과 같은 금속산화물 박막을 실리콘 웨이퍼 상에 증착시키는 장치 및 방법에 관한 것이다.

Description

기화 및 증착 장치 및 방법 {VAPORIZATION AND DEPOSITION APPARATUS AND PROCESS}
집적 회로의 밀도의 증가는 256 Mbit 및 1 Gbit 디램(DRAM)을 형성하기 위한 캐패시터와 같은 전기 장치에 높은 유전상수를 갖는 재료를 사용할 것을 요구하게 되었다. 유기금속 화합물과 같은 높은 유전 상수 재료를 포함하는 캐패시터는 통상적으로 표준 SiO2-Si3N4-SiO2스택 캐패시터 보다 훨씬 큰 캐패시턴스 밀도를 가지므로, 집적 회로 제조시에 이들 재료를 선택하게 된다.
극초대규모 집적 회로(USLI) 디램에 사용되는 재료로서 관심이 고조되고 있는 유기금속 화합물 중 하나는 높은 캐패시턴스를 갖는 BST이다. BST를 증착하기 위해 종래에 사용된 증착 기술로는 RF 마그네트론 스퍼터링, 레이저 융삭, 졸-겔 프로세싱, 및 금속 유기질 재료의 화학 기상 증착이 있다.
액체 소오스 BST 화학 기상 증착 공정은 화합물을 분무하는 단계, 분무된 화합물을 기화시키는 단계, 증발된 화합물을 가열된 기판 상에 증착시키는 단계, 및 증착된 박막을 어닐링하는 단계를 포함한다. 이러한 공정은 액체 전구체 및 가스를 기화를 통해 앰풀(ampoule)로부터 액체 이송 시스템으로 궁극적으로는 증착될 기판의 표면으로 도입할 때 액체 전구체 및 가스를 제어할 것을 요구한다. 제어된 온도와 압력 환경의 영향하에서 균일한 두께의 박막을 증착하는 반복가능한 공정을 달성하는 것이 목적이다. 이러한 목적은 전구체가 다루기 까다롭고, 증착 환경이 복잡한 구성을 요구하기 때문에 만족스럽게 달성되지 못한다.
예컨대, 한가지 문제점은 액체 전구체의 이송은 일반적으로 포지티브 변위 펌프를 필요로 한다는 점이다. 만일 전구체가 펌핑 시스템의 표면 상에 증착되는 경우, 펌프는 작동이 불량하게 되고, 교체해야 한다. 추가로, 펌프는 압력 밀봉을 파열시키거나 또는 펌프는 펌프 상의 압력 릴리프 밸브가 작동할 때까지 계속하여 작동하기 때문에, 포지티브 변위 펌프의 사용은 이송 라인 또는 기화기가 증착물로 막히게 될 때 문제가 된다. 어떠한 결과든지 유지 및 수리를 요구할 수도 있으며, 펌프의 수리 및 교체는 많은 비용이 소모되며, 장치의 가격을 증가시킨다.
다른 문제점은 BST 전구체가 고온에서의 분해와 저온에서의 응축 사이의 좁은 범위에서 기화되기 때문에, 배출 시스템을 통한 기화기로부터 챔버로의 유동 경로에서 온도를 제어시켜야 한다는 점이다. 추가로, 액체 전구체는 시스템 도처에 배치된 이송 라인 및 밸브 내에 증착물이 형성되는 경향이 있다.
또다른 문제점은 액체 전구체의 기화가 난해하거나 효율이 떨어진다는 점이다. 일반적으로, 액체 전구체의 단지 일부분만이 기화기 내의 낮은 전도성에 기인하여 기화되고, 이에 의해 증착율이 억제되고 공정의 반복성이 일관되지 못하게 된다. 추가로, 화학 기상 증착 공정에서 사용된 공지된 기화기는 좁은 통로를 가지는데, 이러한 좁은 통로는 사용하는 동안 막힐 수 있으며, 안정될 수 있는 연속적인 유동 공정에 적합하지 않다. 이는 액체 전구체의 기화 효율을 현저하게 감소시키며, 공정 반복성 및 증착율에 부정적인 영향을 미친다. 또한, 공지된 기화기는 표면 온도를 제어하는데 능력이 부족하며, 기화기 내로 분사하기 전에 액체 전구체를 저온으로 유지하는 능력이 부족하다. 이는 기화기 내의 분사 라인 내에 재료의 증착을 유발하며, 전구체의 때이른 응축 또는 바람직하지 못한 분해를 유발한다.
BST 증착시에 발생되는 또다른 문제점은 증착 공정이 높은 기판 온도, 바람직하게는 약 400 내지 750℃에서 수행되며, 어닐링 공정이 약 550 내지 850℃에서 수행된다는 점이다. 이러한 고온은 증착 공정에서 사용된 챔버에 대해 몇가지 요구 조건을 가진다. 예컨대, 탄성 O-링이 일반적으로 증착 챔버를 밀봉하기 위해 사용되는데, 이러한 O-링은 여러 제조 주기 동안 약 100℃를 초과하는 온도에서 견딜 수 있는 재료로 제조되지 않는다. 이러한 밀봉 파괴는 공정 화학물 및 시스템 부품을 오염시킬 뿐만 아니라 적절한 챔버 압력의 손실을 유발할 수도 있으며, 이에 의해 웨이퍼 상에 불완전한 박막이 형성된다. 또한, 열전도를 유발하는 시스템 부품의 온도 파동을 필수적으로 방지해야 한다. 열전도에 기인한 열손실은 기판 표면에 걸쳐서 온도 구배를 유발하며, 이에 의해 박막 두께가 불균일하게 되며, 또한 챔버 내의 고온 환경을 유지하기 위해 요구되는 시스템의 전력을 증가시키게 된다.
따라서, 챔버 내에서 고온을 유지하고 통로를 따라 전구체의 바람직하지 못한 응축 또는 분해를 방지하며 시스템에서의 온도 구배를 방지하면서, 액체 전구체를 기화기로 이송하고, 전구체를 효율적으로 기화시키며, 기판 표면으로 기화된 전구체를 이송하고, 시스템을 배출시킬 수 있는 증착 장치 및 방법을 요구하게 되었다.
본 발명은 액체 전구체를 기화시키고 적절한 기판 상에 박막을 증착시키는 장치 및 방법에 관한 것이다. 보다 상세하게는, 고용량 다이나믹 메모리 모듈에서 유용한 집적 회로 캐패시터를 제조하기 위해 바륨 스트론튬 티타네이트(barium strontium titanate, BST) 박막과 같은 금속산화물 박막을 실리콘 웨이퍼 상에 증착시키는 장치 및 방법에 관한 것이다.
도 1은 본 발명에 따른 챔버 시스템의 사시도;
도 2는 본 발명에 따른 챔버의 단면도;
도 3a는 챔버벽을 통과하는 가열된 가스 이송 라인의 단면도;
도 3b는 챔버벽을 통과하는 가스 이송 라인의 단면도;
도 4는 본 발명에 따른 챔버와 퍼지 가스 펌핑 노우즈 조립체의 선택적인 실시예의 단면도;
도 5는 챔버 라이너의 실질적인 바닥 사시도;
도 6은 저항 가열 요소에 대한 연결기를 도시한 챔버 라이너의 단면도;
도 7은 본 발명에 따른 덮개의 상면도;
도 8은 가스 매니폴드의 부부 단면도;
도 9는 가스 매니폴드의 상면도;
도 10은 가스 매니폴드의 단면도;
도 11은 가열된 노우즈 라이너의 측면도;
도 12는 노우즈 라이너용 장착 플랜지의 단부도;
도 13은 냉각 트랩 필터 부재의 사시도;
도 14는 챔버와 기화기 모듈의 사시도;
도 15는 본 발명에 따른 기화기의 단면도;
도 16은 본 발명에 따른 기화기의 핀 구조물의 상부 개략도;
도 17은 기화기의 선택적인 실시예의 단면도;
도 18은 액체 이송 시스템의 개략도;
도 19는 제로 불감부피 밸브의 사시도;
도 20은 제로 불감부피 밸브의 단면도; 및
도 21 내지 도 27은 바람직한 CVD BST 200mm 공정의 특징을 도시한 그래프.
본 발명의 일양태에 따르면, BST 및 기화를 요구하는 다른 재료, 특히 저휘발성 전구체를 증착하기 위한 증착 챔버를 제공하는데, 이러한 전구체는 액체로서 기체상태로 변환되도록 기화기로 이송되고 챔버 부품 상에 바람직하지 못한 응축을 방지하기 위해 고온에서 이송되어야 한다. 바람직하게는, 챔버 및 관련 가스 유동 표면 상에 기화된 재료가 분해 및/또는 응축되는 것을 방지하도록, 챔버의 내부 표면은 상온 보다 높은 적절한 온도, 즉 200 내지 300℃로 유지된다. 이러한 증착 챔버는 가열온도가 제어된 일련의 내부 라이너를 포함하는데, 이러한 내부 라이너는 제거, 정화 및/또는 교체가 용이하도록 구성되어 있으며, 바람직하게는 증착 재료의 열팽창계수에 근접한 열팽창계수를 갖는 재료로 제조된다. 증착 챔버는 또한 바람직하게는 극초대규모 디램(ULSI DRAM)에 유용한 캐패시터와 같은 전기 장치를 제조하는 동안 발생되는 고온의 악영향으로부터 챔버 밀봉물, 즉 탄성 O-링을 보호하는 피이쳐(feature)를 포함하고 있다. 이러한 개념은 일반적으로 "저온 반응기(cool reactor)" 내의 "고온 반응기(hot reactor)"로서 언급된다.
본 발명은 또한 막힘을 방지하기 위해 높은 전도도를 갖도록 큰 기체 통로를 갖춘 기화 장치를 제공하는데, 이러한 기화 장치는 액체 전구체 성분을 혼합하고 효율적으로 기화시키며, 기화기 및 가스 이송 라인에서 가스의 분해 및 응축을 방지하면서 기화된 재료를 증착 챔버로 이송한다. 바람직하게, 이러한 기화 장치는 기화기와 관련된 오염 또는 막힘의 문제점을 감소시키기 위해 넓은 통로를 갖는 비틀린 경로와 증가된 표면적을 제공함으로써 기화 효율을 증가시킨다.
본 발명은 또한 고압 펌프를 필요로 하지 않으면서 액체 소오스 성분을 기화기로 이송하기 위한 시스템을 제공하는 것이다. 가압된 용기는 액체 전구체를 기화기로 이송한다. 이러한 용기는 바람직하게는 아르곤과 같은 불활성 가스를 사용하여 약 500psi 까지 충진할 수 있다. 가압된 용기의 사용은 액체 전구체를 기화기로 이송하기 위한 고압 펌프의 필요성을 제거한다.
본 발명은 또한 어떠한 배관 라인도 파괴하지 않으면서 챔버 내로 접근할 수 있는 액체 및 가스 배관 시스템을 제공한다. 바람직하게, 기화된 재료는 기화기로부터 챔버 몸체를 통해 덮개 내의 가스 분배 조립체로 이송되는데, 덮개는 가스 분배 조립체는 혼합 가스 매니폴드 및 가스 분배 플레이트를 포함한다. 챔버 몸체 및 덮개의 혼합 가스 매니폴드는 내장된 가스 통로를 연결시킨다.
또한, 본 발명은 연속 유동 모드 또는 불연속 유동 모드로 작동할 수 있는 배출 시스템(flushable system)을 제공하는데, 이러한 배출 시스템은 기판을 챔버 내로 또는 밖으로 이송하는 동안 작동이 중단된다. 하나 이상의 제로 불감부피 밸브(zero dead volume valve) 및 중력 공급 시스템은 액체 전구체가 기화되어 챔버로 이송되는 증착 모드와 액체/기체 이송 라인 내에 재료가 적층되는 것을 방지하기 위해 시스템을 배출하도록 용매가 라인과 밸브로 이송되는 기판 이송 모드 사이에서 시스템을 순환시킨다. 용매는 액체 이송 라인, 기화기, 및 바이패스 라인을 통해 처리 시스템으로 순환된다. 추가로, 배출 시스템은 전구체를 연속적으로 기화시킬 수도 있지만, 바이패스 라인을 통해 배출 시스템으로 기화된 재료를 이송시킬 수도 있다.
또한, 본 발명은 챔버 압력을 고진공 상태로 유지할 수 있고 증착물이 내부에 증착되는 것으로부터 펌프를 보호하도록 구성된 배관 시스템을 갖춘 챔버용 펌핑 시스템을 제공하는 것이다. 본 발명의 일양태에서, 펌핑 시스템으로부터 기화된 가스를 제거하기 위해 배출 펌프로부터 상류에 냉각 트랩이 배치되어 있다. 본 발명의 다른 양태에 따르면, 고진공 펌프는 공정 가스의 부재시에 고진공 펌프와 선택적으로 연통할 수도 있도록 선택적으로 게이트 밸브와 같은 적절한 밸브에 의해 배출 통로로부터 격리되어 있다.
본 발명의 화학 기상 증착 시스템은 제조 시간이 현저하게 감소되고 용이하게 보수할 수 있으며 입자를 거의 발생시키지 않으면서 고속으로 CVD 박막을 증착할 수 있는 높은 품질의 캐패시터 박막을 사용하는데 사용되는 것을 특징으로 한다.
본 발명은 금속-산화물 박막 뿐만 아니라 전구체 액체의 기화를 요구하는 다른 박막의 증착에 유용한 액체 이송 화학 기상 증착 시스템에 관한 것이다. 이러한 시스템은 ULSI 디램 뿐만 아니라 다수의 다른 전기 장치에서 사용되는 캐패시터의 제조에 유용한 금속-산화물 유전체의 제조 분야에 적용된다. 일반적으로, 본 발명에 따라 제조될 수 있는 장치는 하나 이상의 절연층, 기판 상에 증착된 유전체 또는 전극 재료를 갖춘 것을 특징으로 한다.
도 1은 본 발명의 화학 기상 증착 시스템(10)의 사시도이다. 이러한 시스템(10)은 일반적으로 챔버 몸체(12), 가열된 덮개 조립체(14), 일체형 기화기 모듈(16), 및 배출/펌핑 시스템(18)을 포함하고 있다. 도 1에는 도시되지 않았지만, 본 발명의 특징적인 구성 요소 중 하나는 액체 전구체를 기화기 모듈로 공급하기 위한 액체 이송 시스템이다. 이러한 시스템의 크기 및 칫수는 본 발명의 공정이 수행되는 소재의 크기 및 형태에 따라 좌우된다. 본 발명의 바람직한 실시예는 200mm 실리콘 웨이퍼와 같은 원형 기판을 처리하도록 구성된 챔버와 관련하여 기술할 것이다.
발명자들은 만일 실질적으로 모든 시스템 구성요소(기판 및 기판 가열기 이외의)가 실질적인 이상 등온 시스템 온도(즉, BST에 대해 250℃±5℃)로 유지된다면, 증착층 균일도가 강화될 수 있고, 시스템의 유지 보수가 감소될 수 있음을 알아내었다. 증착 챔버는 비교적 고온의 기판 및 기판 지지 부재에 의해 발생될 수 있는 온도 구배를 최소화하기 위한 피이처를 포함하는 여러 능동형 및 수동형 열제어 시스템과 결합된다. 증착 챔버는 또한 이상 등온 시스템 온도 아래로 증착 챔버를 냉각시킴으로써 주요 챔버 밀봉을 보호하는 역할을 하는 열제어 피이처를 포함하고 있다. 다른 유사한 열제어 피이처는 화염에 의한 손상을 방지하기 위해 비교적 안정 온도에서 챔버 덮개를 둘러싸고 있는 커버를 유지한다. 냉각은 시스템 화학물에 노출된 시스템 구성요소에서 현저한 온도 변동 및 온도 구배를 발생시키지 않고 또한 과도한 냉각 및 전력 손실을 발생시키지 않으면서 달성된다.
증착 챔버
도 2는 가열된 덮개 조립체(14)를 지지하는 챔버 몸체(12)를 도시한 증착 챔버의 일실시예의 단면도이다. 챔버 몸체(12)는 라이너벽(22)에 의해 한정된 내부 환형 처리 영역(20)을 한정한다. 기판 지지 부재(24)는 챔버의 바닥을 통해 연장하며, 처리 영역(20)의 하단부를 한정한다. 챔버 몸체(12) 및 덮개 조립체(14)는 바람직하게는 알루미늄, 스테인레스강 또는 그의 조합체와 같은 강성 재료로 제조된다. 챔버 몸체(12)는 또한 기판 위로 이송되었던 증착 기체의 잔유물을 퍼지하기 위한 펌핑 포트를 한정한다. 가스 분배 조립체를 둘러싸고 있는 일반적인 U형 통로는 펌핑 채널을 형성하는데, 이러한 펌핑 채널을 통해 가스가 배출 시스템으로 유도된다.
기판 지지부재(24)는 금속, 즉 알루미늄으로 제조될 수도 있으며, 저항성 가열 요소가 부착되거나 내장되어 있다. 선택적으로, 기판 지지부재는 세라믹 블록 및 주변 전극에 의해 방출된 RF 에너지가 가해질 때 열을 발생시키는 내장형 그라운드 플레이트를 포함할 수도 있다. 적절한 기판 지지부재 및 관련 리프트 조립체(lift assembly)는 1997년 7월 14일자로 출원된 발명의 명칭이 "Self Aligning Lift Mechanism"인 미국 특허 출원에 개시되어 있으며, 이는 본 발명에서 참조하였다. 이러한 기판 지지부재로는 미국 캘리포니아 산타 클라라에 소재한 "어플라이드 머티어리얼스"로부터 생산되는 모델명 "CxZ 히터"가 있다.
기판 지지부재는 일반적으로 중앙 승강축(30) 상에서 상승 및 하강하여, 기판을 가스 분배판(26) 부근의 증착 위치와 챔버 몸체를 통해 형성된 슬릿 밸브 아래의 기판 삽입/제거 위치 사이에서 이동시킨다. 챔버 내로 축이 유입되는 지점은 접힘식 벨로즈(도시되지 않음)로 밀봉된다. 기판은 기판 지지부재(24)를 통해 연장하는 4개의 통로(34) 내에 미끄럼가능하게 유지된 한세트의 리프팅 핀(32)에 의해 로봇 블레이드로부터 상승되거나 로봇 블레이드 상에 위치된다. 각각의 핀 바로 아래에는 챔버 내로 핀을 수직하게 이동시키는 리프팅 플레이트(36)가 위치되어 있으며, 이에 의해 기판은 슬릿 밸브 개구(도시되지 않음)를 통해 챔버 내로 이동하는 로봇 블레이드 상에 위치되거나 상승된다.
챔버 몸체(12)는 유입부(42) 및 배출부(44)를 갖춘 가열된 가스 급송체(40)를 수용하기 위한 하나 이상의 통로(38)를 포함하는데, 이러한 통로는 하나 이상의 전구체 가스를 덮개 조립체(14) 상에 장착된 가스 분배 플레이트(26) 내로 이송한다. 통로(38)는 상이한 직경의 상단부 및 하단부를 한정하여서, 상단부 및 하단부가 만나는 지점에 어깨부(58)를 형성한다. 가스 배출부(44)는 가스를 가스 분배 플레이트(26)로 이송하도록 적어도 제 1가스 통로(48)를 포함하는 혼합 가스 매니폴드(46)와 유체 연통되어 있다. 바람직하게는 테플론으로 제조되고 스테인레스강 c-스프링을 갖춘 O-링 밀봉(50)은 상부 챔버벽 상의 배출부(44) 둘레에 위치되어서, 가스 급송체(40)와 가스 매니폴드(46) 사이에 밀봉 연결부를 제공한다. 도 7은 환형선으로 혼합 가스 매니폴드를 도시한 덮개 조립체의 상면도이다. 하나 이상의 산화제 가스를 챔버를 통해 혼합 가스 매니폴드(46)로 이송하기를 원하는 경우, 통로(38)와 유사한 하나 이상의 산화제 가스 통로(52)가 가열될 수 있는 산화제 가스 급송체를 수용하도록 챔버 몸체(12) 내에서 통로(38)에 인접하여 형성된다. 가스 통로(54)는 산화제 가스를 가스 분배 플레이트(26)의 유입구 부근의 가스 매니폴드 내에 위치된 혼합 지점(56)으로 이송하기 위해 혼합 가스 매니폴드(46) 내에 형성되어 있다. 한정 통로(37)는 산화제 가스 통로(54)의 단부와 기화 가스 통로(48)의 단부를 연결시켜서, 가스 분배 플레이트(26)로부터 상류에서 가스 혼합물을 혼합할 뿐만 아니라 고속 이송을 제공한다.
도 3a는 챔버벽을 통해 형성된 환형 통로(38) 내에 배치된 가열된 기체 가스 급송체(40)를 도시한 단면도이다. 환형 통로는 통로의 상단부 상에 배치된 어깨부(58) 및 O-링 밀봉(60)을 포함한다. 급송체는 바람직하게는 외부 도관(41) 및 이러한 외부 도관 내에 배치된 내부 도관(45)을 포함하고 있다. 외부 도관은 통로의 어깨부(58) 상에 장착된 장착 어깨부(43)를 포함하고 있다. 외부 도관은 또한 어깨부(58) 및 O-링 밀봉(60)에 대항하여 통로(38) 내의 밀봉 위치에 급송체를 고정시키기 위해 잠금 너트를 수용하기 위한 나사를 갖춘 하단부를 포함하고 있다. 내부 도관(45)은 O-링 밀봉(50)에서 덮개 조립체와 밀봉을 형성하는 상부 장착 표면(49)을 한정하며, 또한 챔버 몸체의 바닥과 일치하도록 그의 하단부 상에 플랜지(62)를 포함하고 있다. 케이블형 가열기(64) 또는 다른 적절한 가열기가 급송체를 원하는 온도로 가열하기 위해 급송체의 내부 도관과 접촉하여 배치되어 있다. 열방사가 외부 도관(41)을 가열하는 것을 방지하도록 방사형 실드(65)가 가열기 위에 배치되어 있다. 전력 도선(67)은 급송체의 하단부로부터 연장하며, 급송체를 가열하기 위해 적절한 전력원에 연결되어 있다. 온도계(66)가 급송체의 온도를 모니터링하기 위해 가열된 급송체(40) 내로 삽입되거나 내부에 배치되어 있다. 급송체는 통로 내에 장착되어 있고 나사형 연결기 또는 다른 적절한 연결기를 통해 통로 내에 고정된다.
외부 도관(41)의 외부벽(47)은 얇고, 그의 외부 표면과 챔버 몸체의 내부벽 사이에 갭을 한정하는 크기를 가져서, O-링 밀봉(60) 부근에 가열 초크를 제공한다. O-링(50)은 바람직하게는 약 250℃의 온도에 견딜 수 있는 고온 O-링이다. 얇은 벽은 어깨부(58)로의 열전도를 최소화하여 O-링 밀봉(60)을 보호한다. 열전도를 최소화시킴으로써, 급송체를 가열하는데 보다 적은 전력이 요구된다. 추가로, 보다 양호한 열제어와 피이드백 제어에 대한 보다 신속한 응답을 제공하는데 보다 적은 열량을 필요로 한다. 또한, 외부 도관에 대한 열초크는 삽입부에 직접 연결되고 덮개 몸체에 의해 가열된 혼합 가스 매니폴드(46)로부터의 열손실을 방지한다. 이는 기화된 가스의 경로를 따라 냉각 지점이 형성되는 것을 방지한다.
도 3b는 가열되지 않는 가스 급송체의 실시예를 도시하고 있다. 산화제 가스는 가열되지 않은 급송체를 통해 유동한다. 그렇지만, 가열된 산화제 가스 급송체가 요구되는 분야에서는, 도 3a에 도시된 것과 유사한 급송체가 요구된다. 도 3b의 급송체는 케이블 가열기 및 온도계가 제거된 것을 제외하고는 도 3a의 급송체와 유사하다. 추가로, 급송체의 크기는 공정의 요구 조건에 따라 달라질 수도 있다. 일실시예에서, 가열되지 않은 산화제 가스 급송체는 보다 작은 가스 통로를 가지며, 전체 면적은 다소 작다.
도 4는 본 발명의 선택적인 실시예의 단면도이다. 기화기 배출 포트와 직접 연통하는 증착 기체 유입 통로(68)는 덮개 몸체(14)를 통해 축선방향으로 연장할 수도 있다. 유입 통로를 둘러싸는 환형 리셋스는 메인 덮개 몸체의 상부측상에 형성되어 있다.
도 2를 참조하면, 제거가능한 증착 챔버 라이너(다수의 상이한 위치에서 사용될 수 있는)는 증착 챔버의 주기적 세척을 용이하게 한다. 본 발명의 바람직한 실시예에 따른 라이너는 일체식 또는 기능적인 일체식 원통형 라이너(28)를 포함하는데, 이러한 라이너(28)는 기판 지지부재(24) 부근에 상부 챔버 표면을 덮으며, 바닥 라이너(29)는 기판 지지부재 아래의 하부 챔버벽 표면을 덮는다. 라이너 재료는 스테인레스강 또는 알루미늄과 같은 금속 및 Al2O3또는 석영과 같은 세라믹 재료로 제조될 수도 있으며, 챔버 표면 상에서의 가스의 응축 및 분해를 방지하기 위해 라이너 벽의 온도를 최적의 등온 시스템 온도로 유지하는 PID 제어 가열 요소가 장착될 수 있다. 라이너를 제조하기 위한 재료는 바람직하게는 할로겐 및 할로겐화 정위치 세척 화합물에 대해 내화학성을 가지며, 바람직하게는 공정 화학물에 악영향을 미치지 않고 또한 공정 화학물에 의해 악영향을 받지 않는다.
도 2를 참조하면, 챔버 라이너(28)는 바람직하게는 용이하게 세척 및/또는 교체될 수 있는 챔버 내에 제거가능한 표면을 제공하기 위해 챔버의 내벽(22) 부근에 배치되어 있다. 라이너(28)는 챔버 내에서 지지물(23) 상에 지지되는데, 바람직하게는 라이너의 하부 표면 주위에서 균일하게 이격된 3개의 지지물 상에 지지된다. 지지물(23)은 챔버 라이너(28)와 챔버 몸체 사이의 접촉 면적을 최소화할 수 있는 크기를 가지며, 이에 의해 라이너와 챔버 몸체 사이에 열전도가 최소화된다. 일실시예에서, 라이너는 가열된 덮개 및 가열된 기판 지지부재로부터의 방사열에 의해 가열된다. 이러한 실시예는 패시브 플로팅 라이너(passive floating liner)로서 언급된다. 선택적으로, 라이너는 또한 이상적인 등온 온도로 가열되고 유지될 수 있도록 저항식 가열기(25)(도 5에 도시됨) 또는 다른 적절한 가열기를 포함할 수도 있다. 이러한 액티브하게 가열된 실시예는 액티브 플로팅 라이너로서 언급된다. 도 5는 내부에 배치된 저항성 코일(25) 및 라이너의 하부 표면 상에 장착된 전기적 연결기(27)를 갖춘 가열된 라이너(28)의 바닥 사시도인데, 여기서 라이너의 바닥 표면은 코일로의 전기적 연결을 수용한다.
도 6은 전기적 연결기(27)가 배치된 챔버의 바닥 상에 장착된 외부 하우징을 도시한 액티브 플로팅 라이너(28)의 단면도이다. 라이너의 열팽창에 기인하여, 열팽창은 챔버 상에 장착된 외부 하우징에 의해 수용되거나 제거된다. 외부 하우징은 챔버의 바닥부에 장착되고 그리고 벨로즈(35)를 장착하기 위한 각각의 단부 상에 배치된 플랜지(31,33)를 제 1도관(29)을 포함하고 있다. 벨로즈의 일단부는 플랜지(33)의 하단부에 장착되고, 타단부는 플랜지(39)에서 제 2도관(37)에 연결된다. 벨로즈는 전기 연결기(27) 또는 라이너(28) 내의 열팽창을 수용할 수 있는 크기를 가지며, 구부러질 수 있다.
기판 지지부재 아래의 라이너의 일부는 일반적으로 기체 흐름과 격리되어 있기 때문에, 이들 부품들의 온도 제어는 별로 중요하지 않다. 그렇지만, 기판 지지부재 아래의 라이너의 일부는 또한 저항식 가열 요소 또는 다른 적절한 가열 요소를 사용하여 가열될 수도 있다. 바람직하게, 기판 지지부재 위 및 아래의 라이너의 온도는 최적의 등온 시스템 온도 범위, 즉 약 200℃ 내지 750℃로 유지되거나, 또는 원하는 증착 재료에 대한 다른 적절한 온도 범위 내에서 유지되어야 한다.
밀봉 에지 링(160)(도 2에 도시됨)은 챔버 내에 배치되어 있으며, 기판 지지부재(24)의 둘레 에지와 겹쳐져서 접촉하도록 기판 지지부재(24) 상에 지지되어 있다. 이러한 링을 정령 위치로 유지하도록, 링의 하측부 상에 둘레 리브가 제공될 수 있다. 에지 링은 라이너(28)와 기판 지지부재(24) 사이의 환형 공간(162)을 폐쇄하는 작용을 하며, 이에 의해 증착 챔버의 하부로 유동하는 증착 기체의 양이 감소된다. 또한, 에지 링은 방사 실드로서 작용한다. 가스 분배 플레이트(26)의 외부 둘레부는 일반적으로 기판의 직경을 초과하여 연장한다. 에지 링(160)은 기판 지지부재에 의해 직접 방사된 열로부터 가스 분배 플레이트(26)의 일부를 보호한다. 에지 링(160)은 열주기 동안 벗겨짐에 의한 입자 발생을 감소시키기 위해, 바람직하게는 증착 재료와 유사한 열팽창계수를 갖는 재료로 제조된다. BST의 경우, 에지 링 재료는 티타늄이다. 본 발명의 에지 링은 ----- 자로 출원된 본 출원인과 공동 소유인 미국 특허 출원 제 ----- 에 개시된 에지 링의 형태에 따라 제조될 수도 있다.
덮개 조립체(14)는 바람직하게는 높은 열전도도를 갖는 금속, 즉 알루미늄으로 제조된 메인 몸체(70)를 포함하고 있다. 메인 덮개 몸체는 얇은 외부 환형벽(76)을 한정하도록 그의 둘레에 형성된 환형 채널(74)을 한정한다. 바람직하게 스테인레스강 또는 다른 단열재료로 제조된 지지 링(78)이 채널 내에 배치되어서, 덮개를 위한 구조적 지지부를 제공하고 외부벽(76)으로 열전도가 이루어지는 것을 방지한다. 몸체 부재의 얇은 외부벽은 O-링 밀봉(72)에서 처리되는 동안 챔버 몸체에 밀봉된 덮개의 베이스(71)에 대한 열적 초크를 제공한다. O-링 밀봉(72)은 챔버 몸체(12)와 덮개 조립체의 둘레 계면에 위치되어서 챔버를 밀폐 및 진공 기밀 상태로 유지한다. O-링 밀봉을 냉각시키기 위해, 바람직하게는 하나 이상의 냉각 채널(73)이 외부벽(76)의 하부 립 내에 배치된다. O-링 밀봉에서의 열을 제거하기 위해 열교환 유체(즉, 물, 에틸렌 글리콜, 실리콘 오일 등)가 채널을 통해 순환한다.
얇은 외부벽(76)에 의해 제공된 열적 초크는 챔버 리드(14)와 챔버 몸체(12) 사이의 O-링 밀봉(72)을 덮개 내에 배치된 가열 요소(80)에 의해 발생된 열로부터 격리시킨다. 열적 초크는 다른 시스템 부품에 대해 악영향을 미치지 않으면서 O-링 밀봉(72) 상부 상의 채널 내에서 국부 냉각을 허용함으로써 O-링 밀봉(72)을 열적으로 보호한다. 얇은 벽(76)은 단면적(A)과 길이(l)가 작은 관계로 가열 요소와 O-링 사이의 효과적인 열적 배리어를 제공한다.
메인 덮개 몸체(70)의 상부면은 가열 요소(80)를 수용하기 위한 스파이럴 그루브와 같은 다수의 환형 리셋스(79)를 한정한다. 바람직한 실시예에서, 약 6200W의 출력 전력을 갖는 가열기가 사용된다. 그렇지만, 전력량은 덮개의 구성 재료 및 공정 온도를 포함하는 덮개의 구성 및 형태에 따라 변화될 것이다. 전력은 덮개 내에 배치된 급송체(85)를 통해 가열 요소로 전달된다. 가열기는 바람직하게는 덮개 내에 배치된 온도계(82)로부터 수용된 신호에 따라 종래의 PID 피이드백 제어로 제어된다. 열실드로써 작용하는 환형 플레이트(84)는 가열 요소의 상부 상에 장착된다. 바람직하게, 플레이트(84)는 덮개 몸체에 납땜되어서, 덮개 몸체와 일체로 형성된다. 수냉 플레이트(86)는 플레이트(84) 상에 또는 그 위에 배치되어서, 피이드백 온도 제어에 대한 덮개의 열방출에 대한 제어된 메카니즘을 제공한다.
냉각 채널(100)은 바람직하게는 덮개 조립체(14)의 상부 커버 플레이트(86) 내에 형성된다. 냉각 채널(100)은 덮개로부터 열을 제거한다. 또한, 바람직하게는 약 25mils의 열적 초크 갭은 냉각하는 동안 덮개로부터 제거될 열의 양을 제어하기 위해 사용된다. BST와 같은 재료를 증착하는 동안, 기판은 기판 지지부재에 의해 500℃ 이상의 온도로 가열될 것이다. 기판 및 기판 지지부재로부터의 열은 가스 분배 플레이트(26) 상으로 방사될 것이며, 이에 의해 최적의 등온 시스템 온도 위로 가스 분배 플레이트의 온도가 증가될 것이다. 덮개와 가스 분배 플레이트(26) 사이의 열전도 또는 열전달을 증가시킴으로써, 기판 및 기판 지지부재에서 유발되는 온도 구배 및 온도 변동이 감소될 수 있다. 덮개와 가스 분배 플레이트(26) 사이의 열전도도를 개선시키기 위해, 불활성 가스(즉, 헬륨, 수소 등)가 이들 요소들의 환형 계면을 중심으로 순환된다. 불활성 가스는 덮개 내에 배치된 환형, 스파이럴 또는 다른 형태를 갖는 채널(102) 내로 도입된다. 이러한 채널은 가스 분배 플레이트와 메인 덮개 몸체(70)의 결합하는 환형 표면 및/또는 커버 플레이트(86)에 형성될 수도 있다. 불활성 가스는 상부로부터 냉각 플레이트 또는 챔버의 바닥을 통해 가스 매니폴드에 연결된 급송체를 거쳐서 도입된다. 채널 내에서의 가스 압력은 약 1 내지 100토르, 바람직하게는 약 1 내지 20토르의 범위로 유지될 수 있다. 채널의 높은 열전도도에 기인하여, 순환하는 불활성 가스는 덮개 조립체(14)와 가스 분배 플레이트(26) 사이의 열전달을 개선시킬 수 있다.
가열 요소를 포함하는 덮개 조립체는 기체 유입 통로와 가스 분배 플레이트의 온도를 이상적인 등온 시스템 온도, 즉 250℃±5℃로 유지시킬 수 있는 형상을 갖는다. 챔버 몸체와 덮개 조립체 사이에 위치된 O-링 밀봉(72)과 덮개의 상부 커버를 실질적인 저온, 즉 100℃ 이하로 유지시키기 위해 패시브 및 액티브한 냉각 요소가 사용된다.
도 2를 다시 참조하면, 혼합 가스 매니폴드(46)는 초기에 페이스 플레이트(92) 위의 큰 면적에 대해 가스를 분산시키거나 분배시키기 위해 가스를 블로커 플레이트(90)로 이송하는 중앙 개구(88)를 포함하고 있다. 각각의 블로커 플레이트 및 페이스 플레이트는 이들을 통해 형성된 다수의 구멍을 갖추고 있는데, 이러한 구멍들은 플레이트(90,92) 위로 가스를 균일하게 분산시키며, 가스 분배 플레이트(26)를 형성한다. 페이스 플레이트(92)는 기판 지지부재(24) 상에 위치된 기판 위로 가스를 균일하게 이송한다. 가스 분배 플레이트(26) 및 혼합 가스 매니폴드(46)는 바람직하게는 알루미늄으로 제조되며, 가스 분배 플레이트로부터 온도 제어된 덮개 조립체(14)로의 열전달을 허용할만큼 충분히 두껍다.
가스 분배 플레이트 조립체에 대해, 비교적 두꺼운 페이스 플레이트(92)를 갖춘 종래의 얇은 블로커 플레이트(90)는 열제어 시스템으로써 작용한다. 혼합 가스 매니폴드(46)는 가열된 매스(mass)로서 작용하는데, 그의 열용량 및 높은 열전도도는 가스 분배 플레이트의 중앙으로부터 둘레부로의 온도 변화에 저항하는 열적 관성원으로써 작용한다. 가스 혼합 매니폴드(46)는 또한 플레이트를 통한 가스 "채널링(channeling)"의 영향을 방지함으로써, 기판 표면을 가로질러 가스 부피의 보다 균일한 분포를 제공한다. 가스 분배 플레이트가 바람직하게는 알루미늄으로 제조되는 반면, 다른 열적 도전 재료가 또한 사용될 수도 있다.
도 9는 가열 요소(80) 및 혼합 가스 매니폴드(46)를 도시한 챔버 덮개의 상면도이다. 덮개 몸체의 하부 표면은 가스 매니폴드(46)를 장착하기 위한 하나 이상의 채널(104)을 한정한다. 도 10은 가스 매니폴드(46)의 부분 단면도이다. 가스 매니폴드(46)는 하나 이상의 가스 채널(48,54)을 한정하는 가스 이송 블록(61)을 포함하는데, 가스 이송 블록의 일단부는 하나 이상의 가스 유입부(38,52)를 갖추고 있고, 타단부는 가스 배출부(56)를 갖추고 있다. 가스 배출부(56)는 가스 분배 플레이트(26)의 가스 유입부로서 작용한다. 환형의 도전 방지 플레이트(63)는 가스 분배 플레이트를 장착하고 가스 매니폴드와 가스 분배 플레이트 사이의 계면에서의 가스 누출을 방지하도록 가스 이송 블록의 하부 표면 상에 장착된다. 도전 방지 플레이트(63)는 가스 분배 플레이트가 고정되는 환형의 장착 리셋스를 한정할 수 있는 크기와 형태를 갖는다.
기화된 가스 통로(48) 및 산화제 가스 통로(54)는 가스 매니폴드의 길이를 따라 가스 유입부로부터 가스 배출부로 적어도 부분적으로 연장한다. 제한 가스 통로(37)가 기화된 가스 통로와 산화제 가스 통로 사이에 배치되어서, 선택적으로 산화제 가스를 혼합하여 가스 배출부로 이송시키고, 이후 블로커 플레이트 및 페이스 플레이트로 이송시킨다. 제한 통로(37)는 산화제 가스를 비교적 고속으로 기화된 가스 통로 내로 이송하여 가스의 혼합을 조력한다. 선택적으로 또는 추가적으로, 제 2의 기화된 가스 통로 및 산화제 가스 통로, 캐리어 가스 통로 또는 정화 가스 통로 세트가 챔버벽을 통해 제공되어서 가스들을 제 2가스 매니폴드로 이송시킬 수도 있다.
도 4는 본 발명의 펌핑 시스템(18)의 부분 단면도이다. 펌핑 시스템(18)은 챔버에 배출 통로와 관련 펌프를 연결하는 챔버 상에 장착된 펌핑 노우즈(106)를 포함하고 있다. 하우징은 제거가능한 가열된 라이너(112)를 지지한다. 하우징 및 라이너 모두는 한쌍의 포트(114,116)를 한정하는데, 이중 하나의 포트(114)는 냉각 트랩 및 배출 펌프에 연결되어 있고, 다른 포트(116)는 터보펌프(118) 또는 다른 고진공 펌프에 연결되어 있으며, 이들 포트 사이에는 게이트 밸브(120)가 설치되어 있다.
배출 라이너(112)는 노우즈 하우징(108) 내에 미끄럼식으로 장착될 수 있는 크기와 형태를 가지며, 하우징의 단부에 장착되도록 그의 단부 상에 장착 플랜지(122)를 갖추고 있다. 제 2장착 플레이트(123)는 제 1장착 플레이트 상에 장착되어서, O-링 밀봉(125)을 통해 제 1장착 플레이트에 밀봉된다. 배출 라이너는 챔버 내의 배출 매니폴드와 두 개의 배출 포트 내로 개방된 중앙 통로(110)를 한정하는 몸체(124)를 포함하며, 두 개의 배출 포트는 바람직하게는 고진공 펌프, 배출 펌프, 및 관련 냉각 트랩을 연결한다. 6개의 장착 블록(126,128,130)(이중 3개만이 도시됨)은 4개의 카트리지 가열기(132)와 두 개의 온도계(134)를 장착하기 위해 중앙 통로의 길이를 따라 적어도 부분적으로 연장한다. 다수의 온도계는 백업을 제공할 뿐만 아니라 온도 균일성을 체크한다. 일실시예에서, 온도계는 라이너의 바닥을 따라 연장하는 반면, 가열기는 라이너의 중앙 부분 및 상부를 따라 배치되어 있다. 그렇지만, 상부 및 바닥 상에 가열기가 설치되고 중앙에 온도계가 설치되는 구성 또는 바닥 및 중앙에 가열기가 설치되고 상부에 온도계가 설치되는 구성과 같은 다른 형태의 구성이 본 발명에 의해 달성된다. 가열기는 바람직하게는 평행하게 연결되며, 두 개의 연결부가 전력원에 보다 용이하게 연결되도록 라이너의 장착 플랜지 상에 제공된다. 장착 플레이트 위에 캡이 장착되어서, 시스템으로부터 제거될 때 배출 라이너가 가열기에 연결된 전기적 연결부에 악영향을 미치지 않으면서 용이하게 정화될 수 있도록 한다. 캡은 O-링 밀봉 또는 다른 적절한 밀봉을 사용하여 제 2장착 플레이트(123)에 밀봉될 수 있다. 또한, 노우즈로부터 배출 라이너의 제거를 용이하게 하고 정화욕 내로의 잠김이 용이하도록, 바람직하게는 핸들이 제 2장착 플레이트 상에 장착된다. 바람직하게, 제 2장착 플레이트(123)는 가열기 및 온도계 케이블에 대한 연결부를 포함한다. 도 12는 가열기 및 온도계 연결부 및 위치를 나타낸 제 2장착 플랜지(123)의 정면도이다.
도 11은 배출 라이너(112)의 단면도이다. 장착 플랜지(122) 부근의 라이너의 단부는 열적 초크로서 작용하는 얇은 벽부(136)를 포함한다. 열적 초크는 장착 플레이트(122)와 배출 하우징 사이에 배치된 O-링이 고온에 당하지 않도록 한다. 추가로, 열적 초크는 하우징에 전달된 열의 양을 조절함으로써, 라이너를 가열시키기 위해 요구되는 전력량을 감소(최적화)시킬 수 있다. 챔버에 인접한 단부는 배출 매니폴드의 곡선형태의 내부벽과 일치하도록 만곡되어 있다. 테플론 나사(138)는 배출 라이너의 챔버에서 배출 라이너의 적어도 측벽 및/또는 바닥부 상에, 바람직하게는 이들 모두에 삽입되어서, 라이너가 하우징으로 삽입되거나 하우징으로부터 배출될 때 노우즈 라이너 및/또는 하우징의 스크래칭을 방지하면서 미끄러질 수 있도록 매끄러운 표면을 제공한다. 테플론은 250℃ 온도에서 견딜 수 있기 때문에 바람직하며, 바람직하지 못한 오염물을 배출하지 않으며, 여러 활동적인 정화 용액과 대비될 수 있다. 그렇지만, 이러한 특성을 포함한 다른 재료로 제조된 나사 또는 플러그가 효과적으로 사용될 수도 있다.
도 1을 참조하면, 터보펌프(118) 또는 다른 고진공 펌프는 펌핑 노우즈의 배출 포트(116)에 장착된다. 게이트 밸브(120)가 터보펌프와 노우즈 사이에 배치되어서, 챔버와 터보펌프를 선택적으로 연통시킨다. 이러한 터보펌프는 미국 캘리포니아 산타 클라라에 소재한 "어플라이드 머티어리얼스 인코포레이티드"로부터 생산되는 엔듀라 플랫포옴과 같은 공정 플랫포옴과 대비될 수 있을 정도로 매우 낮은 압력으로 진공 챔버를 배출시킬 수 있다. 러핑 펌프(roughing pump), 건조 펌프 또는 이밖에 산업계에서 사용되는 다른 펌프와 같은 배출 펌프는 처리하는 동안 챔버를 펌핑하도록 노우즈 내의 배출 포트(114)에서 챔버에 연결되어 있다. 냉각 트랩(140)은 배출 챔버를 연결하는 도관에 배치되어서, 펌프에 악영향을 미칠 수도 있는 증착 재료를 여과한다. 또한, 제 2냉각 트랩(142)이 제 1냉각 트랩 아래에 배치되어 있고, 기화기로부터 바이패스 라인에 연결된다. 바이패스 라인 및 관련 냉각 트랩은 웨이퍼가 이송하는 동안 기화된 재료의 이송을 허용함으로써 형성된 연속 흐름으로 시스템이 작동할 수 있도록 한다.
도 13은 본 발명의 냉각 트랩 필터의 사시도이다. 냉각 트랩은 관형 하우징(144)(도 1에 도시됨) 내에 수용되어 있으며, 재료를 응축시키기 위한 다수의 냉각 통로(148)를 갖춘 필터링 부재(146)를 포함하고 있다. 필터링 부재는 베이스부(147)와 필터링부(149)를 포함하고 있다. 필리터링부(149)는 그 내부에 다수의 냉각 통로(148)를 포함하고 있다. 물유입부(151) 및 물배출부(153)가 도관(155.157) 내에 배치되어 있다. 가스는 필터링 부재를 통과하여 필터링 부재의 중앙 부분(150)과 연통하도록 배치된 배출 통로를 통해 연속된다. 이러한 구조는 가스가 필터링부(149)와 배출 시스템을 통과할 수 있다. 하우징(144)은 중앙 챔버 부분(150)에 유체식으로 연결된 유입부를 갖춘 배출 펌프에 연결된 도관이 장착되어 있으며, 이에 의해 가스가 냉각 트랩을 통과하여 도관을 통해 처리 시스템으로 이송된다.
퍼지 가스 배열은 챔버의 하부에서 퍼지 가스를 제공하며, 챔버의 바닥으로부터 흘러나온 가스를 상방으로 직접 유동시키는 가스 실드를 형성한다. 가스 실드 강도는 질량 유동 제어기로 조절가능하다. 적절한 퍼지 가스는 헬륨, 아르곤, 및 질소를 포함하며, 이는 퍼지 라인(31) 및 밀봉 벨로즈 내의 기판 지지부재와 승강축을 중심으로 가스를 균일하게 분배하기 위한 원형 매니폴드를 통해 도입될 수 있다. 가스 유량은 증착 공정을 방해하는 것을 방지하도록 비교적 낮게, 즉 50sccm으로 설정되어야 한다. 또한, 퍼지 가스는 라이너에 인접하고 웨이퍼의 에지로부터 떨어져 있는 배출 플레넘 내로 도입된다.
기화기
도 14는 챔버(12)에 인접하여 장착된 기화 모듈(16)을 도시한 사시도이다. 기화기(120)는 기화기 캐비넷(122) 내에 장착되어 있고, 챔버 내에서 유입부에 연결된 배출 라인(124)을 포함하고 있다. 배출 라인(124)을 따라 제 1밸브(126)가 배치되어 있으며, 이러한 배출 라인은 캐비넷(122)의 후방부를 통해 연장하는 바이패스 라인(도시되지 않음)에 연결되며, 냉각 트랩(142)이 내장된 도관에 의해 배출 시스템에 연결된다(도 1 참조). 바이패스 라인은 기화된 가스의 챔버로 이송할 때 또는 시스템을 정화하는 동안 기화된 가스 뿐만 아니라 액체 용매 모두를 밸브로부터 하류에 배치된 냉각 트랩 내로 이송하도록 적용된다. 이러한 밸브는 기화된 재료가 챔버로 또는 배출 시스템 내의 냉각 트랩을 통해 이송하는 것을 제어한다. 제 2밸브(128)는 기화된 가스를 챔버 내로 선택적으로 이송하도록 제 1밸브로부터 하류에 배치되어 있다. 제 2밸브는 로드 및 와셔 조립체(130)를 거쳐 챔버의 하부에 장착되어 있다. 이러한 조립체는 이송 라인 뿐만 아니라 챔버에 대해 밸브를 조절시킬 수 있다. 장착물은 일반적으로 제 1 및 제 2링(132,134)을 각각 포함하고 있으며, 이들 중 하나의 링은 다른 링 내에 배치되어 있으며, 이소밸브(isovalve, 128) 및 이송 라인을 회전가능하게 조절한다. 이소밸브(128)는 다수의 로드(136)(4개만이 도시됨)를 거쳐 외부 링(134)에 장착되어 있는데, 다수의 로드는 링으로부터 장착되고, 로드 및 링(134)의 상부 위에 배치된 스프링(138)을 포함하고 있다. 가스 공급 라인(124)이 급송체(40)를 통해 챔버 내로 적절하게 정렬되도록 스프링 및 로드 배열이 조립체를 수직하게 조절하는 동안, 두 개의 링(132,134)은 조립체를 회전시킬 수 있다. 일반적으로, 현가 장치는 기계적 및 열적 응력 없이 진공 밀봉을 유지하도록 열팽창/수축을 자동으로 보상한다.
도 15는 본 발명의 기화기(120)의 일실시예의 단면도이다. 기화기는 일반적으로 기화기의 유입 포트(172)를 통해 배치된 분사 노즐(170)을 포함하고 있다. 중심 통로(174)가 가스 분사 노즐(170)의 외부 둘레를 중심으로 배치되어서, 하나 이상의 캐리어 가스를 노즐의 팁으로 이송한다. 바람직하게, 중심 가스 통로는 막힘을 방지하도록 저마찰계수를 갖는 PTFE로 제조된다. 캐리어 가스는 노즐에 대해 동심으로 흐르는데, 이는 노즐의 팁 상에 액체 드롭렛이 형성되는 것을 방지하고 노즐의 외부 실린더가 상승하는 것을 방지한다. 노즐(170)로 이송된 액체는 아르곤과 같은 캐리어 가스 내에서 운반되어, 기화기의 중앙 컵형부(176)로 이송된다. 기화기의 컵형부는 기화가 개시되는 액체 분사 스트림을 위한 중앙 용기를 형성한다. 다수의 핀(fin, 178)이 중앙 컵형부(176) 둘레에 배치되어서, 기화가 발생하는 만곡형 경로 또는 미로형 경로를 한정한다. 이러한 핀들은 가스 증기가 확산되는 경로를 형성하도록 링 내에서 서로 이격되어 있으며, 막힘의 문제점을 감소시키기 위해 충분한 거리 만큼 이격되어 있다. 하나 이상의 노치(180)가 핀의 상부에 형성되어서, 가스 흐름을 허용하는 가스 유동 경로를 한정하며, 핀으로 하여금 기화되지 않은 액체를 포획하도록 한다. 이는 액체가 기화기를 통해 챔버 내로 통과하는 것을 방지할 뿐만 아니라 용매가 챔버로 유입될 위험을 방지하면서 용매가 기화기 내로 이송되도록 할 수 있다.
다수의 포트(182)(6개) 및 메인 배출부(184)에 수렴하는 관련 가스 이송 통로가 핀의 최외각 원과 기화기 단편을 둘러싸는 내부 원통벽 사이에 한정된 원형 경로와 연결된다. 각을 이룬 통로(182)의 배열은 기화기 내에서의 공명 시간을 짧게 하는 큰 도전성을 제공하며, 증기 유동 경로의 검사 및 정화를 용이하게 한다. 모든 경로는 하부 블록(186) 및 상부 블록(188)의 큰 고체 매스에 의해 둘러 싸여 있는데, 하부 및 상부 블록은 기화기를 형성하도록 서로 조립되어 있고 금속 대 금속 밀봉부(187)를 포함하고 있다. 상부 및 하부 블록은 가열 요소를 장착하기 위해 그루브(190)를 한정한다. 이러한 배열은 기화 표면 뿐만 아니라 증기가 메인 기화 단편의 하류에서 최적의 등온 온도로 유지되는 것을 보장한다.
기화 단편의 핀(178)은 바람직하게는 개별적인 부분이 아닌 상부 및 하부 블록의 일체부로써 형성된다. 따라서, 종래의 구성과 대비하여, 가열 표면은 열적으로 "부유된 단편(floating piece)", 즉 단편이 부착되는 하나 이상의 개별적인 열적 매스의 온도에 대해 온도가 상승하거나 변화하는(양호하게 제어되지 않음) 단편을 구성하지 않는다. 바람직한 실시예에서, 각각의 핀 세트는 양호한 형태로 상부 및 하부 블록의 표면과 일치하도록 직접 기계가공되는데, 상부 및 하부 블록은 도 16에 도시된 바와 같이 다중 경로, 즉 미로형 구조물을 형성하도록 서로 맞물리는 형태를 갖는다. 기화 기능에 추가하여, 메인 기화 단편의 경로의 비틀림 및 회전은 또한 전구체 성분과 캐리어 가스를 활발하게 혼합시키고, 캐리어 가스가 미로형 경로에서 방향에 변화될 때 충돌에 의해 부유된 드롭렛을 여과한다.
동심으로 배열된 핀들 사이의 방사형 공간은 증착물의 영향을 최소화시키기 위해 바람직하게는 약 0.5mm(0.020인치)이다. 바람직한 방사형 공간은 약 1 내지 3mm(0.039 내지 0.118인치)의 범위이며, 가장 바람직하게는 약 2mm이다. 바람직한 실시예에서, 원형 핀은 약 2 내지 8mm의 높이를 가지며, (반경 방향에서 측정할 때)인치당 2∼6핀의 밀도를 갖는다. 바람직한 메인 기화기 단편의 전체 내부 직경은 75mm이며, 6개의 중심원에는 약 2mm의 방사형 공간이 제공된다. 각각의 원은 4개의 핀을 가지며, 핀의 크기 및 둘레 공간(끝에서 끝을 이은)은 원의 직경에 따라 직접 변한다. 핀의 최대 및 최소 둘레 공간은 캐리어 가스의 흐름, 전구체의 기화 거동, 및 전구체의 열적 안정성에 따라 각각 30mm 및 2mm이다. 핀들 사이의 공간은 기화기의 막힘을 방지하며, 기화가 발생될 수 있는 최대 표면을 제공한다. 낮은 휘발성을 갖는 전구체는 비교적 높은 전도성을 요구하며, 적은 수의 핀을 요구한다. 낮은 열적 안정성을 갖는 전구체는 비교적 짧은 공명 시간, 즉 높은 캐리어 가스 흐름, 짧은 유동 경로, 및 적은 수의 핀을 요구한다. 끓음 현상을 발생시키는 드롭렛을 갖춘 전구체는 드롭렛의 충격 필터링을 강화시키기 위해 비교적 많은 수의 핀을 요구한다.
기화기 조립체의 중요한 특징은 액체 전구체 혼합물을 메인 기화 단편으로 이송하고 전구체 액체와 캐리어 가스를 혼합하기 위해 제공된 배열이라는 점이다. 액체 전구체 성분의 혼합물은 노즐(170) 또는 모세관 튜브(2∼20mil의 내부 직경을 가짐)를 통해 메인 기화단편의 중앙 바로 위의 점으로 이송된다. 액체 및 가스는 비교적 높은 유량, 즉 액체는 10ml/분으로, 가스는 100∼2000sccm으로 공급되어서, 높은 노즐 속도로 액체 및 가스를 분사할 때 액체를 모세관 튜브로부터 배출하여 메인 기화 단편으로 유입하도록 한다. 중요하게, 액체 혼합물의 경로의 최종 짧은 세그먼트는 열적 초크 구조물(185)에 의해 비교적 저온으로 유지되어서, 기화되기 전에 액체 전구체 성분의 열적 분해를 감소시킨다. 특히, 모세관 튜브는 비교적 얇은 튜브 또는 목부(192) 내로 연장하는데, 이러한 목부는 도 15에 도시된 바와 같이 상부 블록과 일체로 형성되거나 상부 블록에 부착된다. 이러한 스트래치를 따라 모세관 튜브의 단열은 10∼100mil 두께의 비교적 얇은 목부 뿐만 아니라 모세관 튜브와 목부의 내부 둘레면 사이의 공간 및 재료의 단열값에 제공된다. 목부는 바람직하게는 PTFE, 스테인레스강 또는 비교적 낮은 열전도도를 갖는 다른 재료로 제조된다. 냉각 블록(197) 및 냉각 채널(199)은 노즐(170)의 온도 제어를 가능하게 한다.
액체 전구체 성분은 메인 기화 단편 바로 위의 모세관 튜브로부터 분사될 때 동심으로 이송된 캐리어 가스와 혼합된다. 동심으로 이송된 캐리어 가스는 목부의 내부 보어의 상부 부분과 유체식으로 연결된, 즉 표준 VCR 피팅으로 연결된 공급 라인(193) 또는 튜브에 의해 이 지점으로 이송된다. 가스는 모세관 튜브(170)와 내부 목부 표면 사이로 한정된 환형 경로(174) 내로 하방으로 유동한다. 노즐 배출부의 높이에서, 캐리어 가스는 모세관 튜브로부터 배출된 액체 전구체 혼합물을 픽업하고, 액체 전구체가 기화되는 메인 기화 단편(176) 내로 혼합물을 이송한다. 초기의 순간 기화를 최적화하기 위해, 모세관 튜브 노즐(170)과 메인 기화 단편(176) 사이의 공간은 바람직하게는 조절될 수 있다. 예컨대, 모세관 튜브는 중앙 목부 보어 내에 장착된 웨브형 센터링 가이드(195) 내에서 축선방향으로 이동할 수 있다. 액체 드롭렛의 "댄스 온 더 프라잉 팬(dance on the frying pan)" 영향을 방지하기 위한 순간 기화의 조절은 가스 및 액체 전구체 혼합물의 유량을 조절함으로써 수득된다. 초기의 순간 기화 후에 잔존하는 액체 드롭렛은 혼합물이 가열된 핀과 접촉하는 메인 기화기 단편의 만곡형 경로를 따라 진행할 때 기화된다. 이후, 수득된 증착 가스는 포트 및 각이진 공급 통로(182)를 통해 중앙 메인 통로(184)로 이송되고, 기화기 배출 포트를 통해 증착 챔버로 직접 이송된다. 혼합물은 실질적으로 소정의 최적의 등온 시스템 온도(즉, 250℃±5℃)로 유지된다. 배출 포트는 전구체 기체가 기화기로부터 챔버 내로 용이하게 이송되도록 큰 전도도를 갖도록 구성된다.
기화기는 혼합물을 균일하게 가열된 표면에 노출시키고 만곡형 경로를 따라 가스 유동 방향이 변화하는 동안 드롭렛 충돌에 의해 흐름 내에 부유된 액체 드롭렛을 여과하는 만곡형 경로를 따라 제공된 큰 단면적을 갖는 메인 기화기 단편을 제공함으로써 BST와 같은 전구체 성분과 캐리어 가스의 혼합물을 기화시키기 위해 작용한다. 유동 속도, 즉 충돌 필터링 효율은 보조 아르곤 또는 다른 캐리어 가스의 흐름에 의해 독립적으로 제어된다. 종래의 배열과 대조하여, 혼합물에 공급된 전력은 완전한 기화를 달성하기 위해 실제로 요구되는 전력 수준 보다 실질적으로 더 높다. 완전한 기화를 위해 요구되는 전력량은 전구체 성분 및 캐리어 가스 화학물. 및 혼합물의 유량에 의존한다. 일예로, 0.10mi/분의 BST 유량과 200∼300sccm의 캐리어 가스, 즉 아르곤의 유량에서, 흐름을 가열하여 완전하게 기화시키는데 요구되는 전력량은 대략 10W이다. 알 수 있는 바와 같이, 액체 전구체 성분 혼합물의 유량과 관련된 방향으로 가스 흐름량을 제어하기 위해 미터링 밸브가 사용될 수 있다.
본 발명에 따르면, 기화기로 전달된 열전력은 혼합물의 완전한 기화를 위해 요구되는 10W 보다 큰 약 100W 내지 1000W의 범위로 설정되며, 바람직하게는 20 내지 30배 높게, 즉 200 내지 300W 정도로 설정된다. 이러한 방식에서, 유동 혼합물에 의해 흡수된 가열 전력은 사용가능한 가열 전력의 일부분이다. 따라서, 가스 증기에 의해 흡수된 전력은 사용가능한 가열 전력에 관해 미미한 산란을 제공하며, 이에 의해 실질적으로 가열 표면을 이상적인 등온 온도(즉, 250℃±5℃)를 유지시킬 수 있다. 일반적으로, 사용된 전구체 성분 혼합물에 따라, 이상적인 등온 시스템 온도는 약 200 내지 300℃의 범위일 것이다.
또한, 기화기 몸체는 메인 기화 단편의 등온 온도를 보장할 수 있도록 구성된다. 특히, 가열 표면은 바람직하게는 알루미늄 또는 스테인레스강으로 제조된 상부 및 하부 블록의 인접하는 표면에 일체로 형성된다. 이러한 블록들은 블록을 둘러싸고 있는 하나 또는 한쌍의 가열 요소에 의해 발생된 열에너지의 보유 및 전달을 위해 비교적 큰 열적 매스를 갖는다. 바람직한 실시예에서, 상부 및 하부 블록은 원통형 로드의 세그먼트로써 제공되며, 케이블 가열기와 같은 하나 또는 한쌍의 가열 요소는 로드 세그먼트의 길이를 따라 둘레부에 따라 기밀하게 싸여 있다.
일실시예에서, 상부 및 바닥 원통형 블록은 각각 3.5 인치의 외부 직경을 가질 수도 있다. 상부 세그먼트는 1인치의 길이를 가지며, 바닥 세그먼트는 2인치의 길이를 갖는다. 이러한 세그먼트는 축선방향으로 연장하고 블록의 둘레부를 따라 균일하게 이격된 8개의 볼트로 서로 결합될 수도 있다. 바람직하게, 세그먼트는 메인 기화기 단편을 둘러싸고 있고 하나 또는 두 블록 내에 제공된 원형 그루브 내에 위치된 공지된 고온 금속 대 금속 밀봉으로 서로 밀봉된다. 금속 대 금속 밀봉의 일 예는 "헬리코플렉스(Helicoflex)"로부터 생산되는 "알루미늄 델타 밀봉"이다.
가열 요소는 바람직하게는 약 1000W 내지 3000W의 전체 가열 전력을 블록으로 전달한다. 개별적인 가열기가 세그먼트의 상부 및 바닥을 가열하기 위해 사용되는 경우, 1500W의 바닥 가열기 및 675W의 상부 가열기가 2175W의 전체 가열 전력을 제공하도록 사용될 수도 있다. 나선형 그루브(도시되지 않음)는 바람직하게는 블록의 외부 표면 상에 형성되며, 가열 요소는 용접에 의해 그루브 내에 고정된다. 가열기는 종래의 PID 제어기에 의해 최적의 등온 온도로 메인 기화기 단편을 유지하도록 제어된다. 이러한 제어기는 가열된 기화 단편에 인접한 상부 및 하부 세그먼트 중 어느 하나, 바람직하게는 세그먼트 모두 내에 위치된 온도계에 연결되어 있다.
도 17에 도시된 선택적인 실시예에서, 상부 및 하부 블록에는 맞물린 핀이 제공되지 않으며, 대신에 핀 구조물(178)이 하부 블록 상에만 배치된다. 상부 블록은 기화 챔버의 상부 루프(179)를 한정한다. 핀(178)은 서로 이격되어 있으며, 핀 구조물을 통해 기화된 가스 흐름이 통과하고 배출부를 통해 배출될 수 있도록 통로를 포함하고 있다. 이러한 배열은 기화된 가스의 도전성을 보다 크게 할 수 있으며, 기화기에서의 공명 시간을 감소시킬 수 있다.
시스템의 적용
본 발명의 시스템을 사용하여 증착될 수 있는 금속 산화물층의 예로는 티타늄 펜톡사이드(Ta2O5), 지르코네이트 티타네이트(ZrxTiy Oz), 스트론튬 티타네이트(SrTiO3), 바륨 스트론튬 티타네이트(BST), 리드 지르코네이트 티타네이트(PZT), 란타늄-도핑된 PZT, 비스무스 티타네이트(Bi4Ti3O12), 바륨 티타네이트(BaTiO3), BST, PZT, 란타늄-도핑된 PZT 등을 들 수 있다. 증착될 수 있는 다른 재료로는 기화와 분해 사이의 범위가 좁은 재료를 포함한다.
본 발명에 사용된 기판은 주로 P형 및 N형 실리콘을 포함한다. 특별한 공정 화학물 및 원하는 완제품에 따라, 게르마늄 및 다이아몬드와 같은 다른 반도체 재료와, GaAs, InP, Si/Ge, SiC와 같은 혼합 반도체 재료, 및 세라믹을 포함하는 다른 기판 재료가 사용될 수도 있다.
집적 회로 장치에서 회로 요소 위의 층을 위한 재료는 형성된 장치 및 다른 특별한 층과 연속적으로 접촉하는 다른 층에 따라 선택된다. 예컨대, 디램은 높은 유전율을 갖는 캐패시터를 요구하지만, 금속 산화물 유전층은 강유전성을 요구하지 않는다.
본 발명에 따라 제조될 수 있는 장치는 64Mbit, 256Mbit, 1Gbit 및 4Gbit 디램을 포함하며, 이들로 한정되지는 않는다.
본 발명에 따른 시스템은 구리와 같은 재료 뿐만 아니라 휘발성을 갖는 다른 액체 전구체에 대해서도 적용될 수 있다.
액체 이송 시스템
도 18은 본 발명의 액체 이송 시스템(200)을 도시한 사시도이다. 액체 이송 시스템은 일반적으로 액체 전구체 모듈(202), 용매 모듈(204), 및 기화기 모듈(206)을 포함하고 있다. 일실시예에서, 액체 전구체 모듈(202)은 두 개의 가압된 용기(208,210) 및 각각의 용기에 연결된 액체 이송 라인(212)을 포함하고 있다. 밸브는 액체 이송 라인의 길이를 따라 배치되어서, 용기로부터 혼합 포트로, 이후 기화기로의 액체 이송을 제어한다. 바람직하게, 이하에 기술될 제로 불감부피 밸브는 밸브를 손상시킬 수 있을 뿐만 아니라 공정의 안정성 및 반복성에 악영향을 미칠 수 있는 전구체의 퇴적을 방지하기 위해 사용된다. 제로 불감부피 밸브는 용매를 사용하여 라인으로부터 전구체를 급속하게 배출할 수 있도록 한다. 용매는 관리하는 동안 시스템을 배출하도록 라인(214)에 의해 액체 이송 라인으로 이송된다. 또한, 퍼지 가스 라인이 라인으로부터 용매를 급속하게 정화시키기 위해 액체 이송 라인에 연결되어서, 용기, 밸브 및/또는 LFC를 포함하는 시스템은 약 10분 내지 30분 동안 유지를 위해 준비될 수 있다. 밸브는 라인이 바이패스 라인(218)과 냉각 트랩 및 배출 매니폴드를 포함하는 회복 시스템을 통해 배출되도록 필요한 경우 용매가 혼합 포트로부터 상류의 액체 이송 라인으로 도입될 수 있도록 구성된다.
용기는 액체 전구체를 고압 펌프에 의존하지 않으면서 고압, 즉 최대 500psi의 압력으로 이송하도록 구성된다. 압력을 제공하기 위해, 아르곤과 같은 불활성 가스가 라인(220)을 통해 약 90psi의 압력으로 용기 내로 도입된다. 용기 내에는 액체 배출 라인(222)이 배치되어서, 아르곤과 같은 불활성 가스가 용기로 이송되도록 하며, 적절한 밸브가 개방되어서, 액체는 적절한 밸브를 통해 배출부로 가압되며, 액체 이송 라인으로 가압된다.
이송 라인(212)은 각각의 앰풀로부터 기화기로 연결되어 있다. 제 1제로 불감부피 밸브는 액체 라인(212)으로의 액체의 이송을 제어하기 위해 용기의 배출부 상에 배치되어 있다. 이러한 밸브는 바람직하게는 바이패스 라인(218)과 액체 이송 라인(212)을 연결하는 3방향 밸브이다. 바이패스 라인(218)은 냉각 트랩 및 배출 매니폴드(도시되지 않음)에 연결된다. 고압 게이지(224) 및 LFC(226)는 용매와 퍼지 가스를 도입하는 밸브(228)로부터 하류에 배치된다. LFC는 액체 전구체 이송 라인 사이에 연결된 혼합 포트(230)로의 액체의 이송을 제어한다. 저압 게이지(232)는 라인의 압력을 모니터링하기 위해 바이패스 라인(218) 상에 배치되어 있으며, 유지 단계의 완료를 결정한다.
액체 전구체 이송 라인(212)은 액체 전구체를 기화기(120)로부터 상류의 혼합 포트(230)로 이송한다. 용매 이송 라인(234)은 또한 액체 전구체 및 용매가 혼합되어 기화기로 이송되는 혼합 포트로부터 하류의 액체 이송 라인 내로 용매를 이송한다. 기화기에서, 캐리어 가스 라인(236)은 액체 전구체와 용매를 모세관 튜브 또는 노즐을 통해 기화기로 운반하기 위해 캐리어 가스를 이송 라인으로 이송한다. 또한, 동심의 캐리어 가스 라인(238)은 캐리어 가스를 노즐 또는 분사 팁 둘레로 이송하여 소량의 액체가 기화 표면으로 이송되도록 한다. 혼합 포트로부터 기화기로의 이송 라인은 바람직하게는 테플론 PTFE와 같은 저마찰계수를 갖는 재료로 제조된다. 이러한 구성은 소량의 액체 전구체의 이송을 조력한다.
용매 모듈(204)은 액체 전구체 용기와 유사한 하나 이상의 장입가능한 용기를 포함하고 있다. 바람직하게는, 두 개의 용매 용기(240,242) 및 두 개의 액체 전구체 용기(208,210)를 포함하고 있다. 액체 전구체 모듈은 혼합 포트에서 혼합되거나 동일한 전구체를 함께 또는 선택적으로 이송할 수 있는 두 개의 개별적인 전구체를 이송할 수 있다.
액체 전구체 모듈은 액체를 용기에서 하방으로 유동하기 위해 슬롯형/무늬형 바닥으로 구성되어서, 액체가 (1) 매우 낮은 레벨에서 검출되도록 하며, (2) 낮은 레벨에서 용기로부터 배출되도록 한다. 이는 낭비되어서는 안될 고가의 액체를 처리할 때 특히 중요하다. 또한, 용기는 연속적인 처리가 달성될 수 있도록 낮은 레벨에서 용기 내의 액체의 부피를 식별하기 위한 초음파 검출기를 포함하고 있다.
도 19는 제로 불감부피 밸브의 사시도이다. 밸브는 액체 전구체 유입부(252), 용매 유입부(254), 및 단일 배출부(256)를 포함하고 있다. 용매는 용매 유입부와 용매 제어 액츄에이터(258)를 통해 액체 전구체 제어 액츄에이터(260)로 순환된다. 플런저(262)는 도 20에 도시된 바와 같이 용매 제어 액츄에이터 내로의 용매의 유입 및 배출을 제어한다. 액츄에이터 내의 플런저(264)가 개방 위치에 있을 때, 액체 전구체는 전구체 유입부(252)를 통해 전구체 제어 액츄에이터(260)로 순환된다. 플런저가 폐쇄 위치에 있을 때, 전구체는 액츄에이터로 유입되지 못하며, 플런저 및 밸브를 통해 용매의 유동에 의해 밸브 밖으로 배출된다. 용매는 플런저가 개방 위치에 있든 폐쇄 위치에 있든 전구체 제어 액츄에이터(260)로 유입되어서, 도 20에 도시된 바와 같이 밸브의 용매 퍼지를 가능하게 한다. 용매가 액츄에이터 내로 유동하는 동안, 플런저는 액체 전구체 유입부를 밀봉하도록 구성된다. 액체 전구체가 차단될 때, 연속적인 용매 유동은 시스템을 연속적으로 정화시킨다.
또한, 단일 액츄에이터 밸브는 용기의 배출부 상에 배치되어서 액체 전구체의 이송을 제어하고 액츄에이터의 막힘을 방지한다. 또한, 2방향 밸브가 바람직하게 기화기 패널 내의 액체 유동 제어기의 하류측 상에 배치되어 있다.
이송 튜브는 무마찰 유체 유동을 촉진시키고 튜브의 경로를 따라 막힘 및 증착을 방지하기 위해 바람직하게는 테플론과 같은 재료로 제조된다. 테플론은 바륨, 스트론튬, 및 티타늄 전구체 액체와 같은 재료에 대해 보다 양호한 도관을 제공한다.
배관 시스템은 주기 공정 동안 라인과 밸브의 신속한 배출이 가능하도록 구성된다. 또한, 각각의 밸브의 연속적인 폐쇄를 가능하게 할 뿐만 아니라 전력 공급이 중단되는 경우 기화기 및 이송 라인을 통한 제어된 양의 용매를 자동으로 이송시킬 수 있도록 구성된다. 이러한 안전한 특징은 전력 공급이 중단되는 동안 시스템이 고장나지 않도록 한다.
이송 시스템은 또한 버블러 시스템을 포함할 수도 있으며, 이러한 버블러 시스템은 아르곤과 같은 캐리어 가스가 때이른 용매의 증발을 억제하도록 용매를 통해 버블될 수 있도록 하며, 이에 의해 전구체 가스가 기화기로 순환하는 동안 건조되지 않도록 한다.
인 시츄(in situ) 액체 유동 제어기 및 전기 제어 장치는 또한 시스템에 대한 제어된 높이를 유지하기 위해 사용된다. 고압 게이지는 전구체 및 용매 라인 상에 제공될 뿐만 아니라 진공 매니폴드 상에는 진공 게이지가 라인 내에 화학물이 잔존하는지의 여부를 측정하기 위해 사용된다. 이러한 게이지는 또한 보드의 누출 보전 측정을 위해 사용된다.
본 발명의 바람직한 실시예는 액체 CVD 성분 및 니들 밸브와 같은 관련 LFC의 두 개의 가압된 용기를 갖춘 액체 CVD 성분 이송 시스템을 포함하는데, 이는 밀봉부를 미끄러뜨리지 않으면서 작동하며, 250psi 미만의 압력에서 사용될 수 있다. 두 개의 용매 용기는 정화 및 유지를 위해 용매를 액체 이송 라인 뿐만 아니라 공정 동안 혼합 포트 내로 이송한다.
BST 공정
증착 공정에 사용되는 증기는 소정의 질량 또는 몰분율로 혼합된 제 1 및 제 2기화 액체 전구체의 혼합물이다. BST의 증착을 사용하기 위해, 제 1액체 전구체는 바람직하게는 부틸 아세테이트와 같은 적절한 용매에서 Ba와 Sr 폴리아민 화합물의 혼합물 중 어느 하나이다. 바람직한 혼합물은 Ba PMDET(tmhd)2로 공지된 비스(테트라 메틸 헵탄디오네이트) 바륨 펜타 메틸 디에틸렌 트리아민, Sr PMDET(tmhd)2로 공지된 비스(테트라 메틸 헵탄디오네이트) 스트론튬 펜타 메틸 디에틸렌 트리아민, 또는 선택적으로 Ba (tmhd)2테트라글리메로 공지된 비스(테트라 메틸 헵탄디오네이트) 바륨 테트라글리메, 및 Sr (tmhd)2테트라글리메로 공지된 비스(테트라 메틸 헵탄디오네이트) 스트론튬 테트라글리메의 혼합물이다. 제 2액체 전구체는 바람직하게는 Ti(I-pr-o)(tmhd)2로 공지된 비스(테트라 메틸 헵탄디오네이트) 비스 이소프로판니드 티타늄, 또는 Ti(tBuO)2(tmhd)2와 같은 다른 티타늄 금속 유기물이다. 제 1액체 전구체 및 제 2액체 전구체 내의 혼합된 금속 사이의 몰비는 바람직하게는 약 2:1:4 Ba:Sr:Ti 이다. 몰비는 약 2:1:2 내지 약 2:1:8로 변화될 수 있다.
BST 공정은 산소, N2O, O3또는 그의 혼합물과 같은 산화 가스와 기화된 제 1 및 제 2액체 전구체를 전구체의 기화 온도 위의 온도 및 성분을 열화시키는 온도 아래에서 혼합시킨다. 이러한 공정은 기판의 온도, 액체 전구체의 용매량, 및 혼합 가스내의 산화제의 농도의 변화에 매우 민감하다. 웨이퍼 온도의 증가는 증착율을 증가시키며, 액체 전구체의 용매량의 감소는 박막의 탁함(haze)을 감소시키며, 산화제 유량의 제어는 박막의 거칠기 및 결정상을 제어한다.
도 21은 본 발명의 바람직한 실시예의 CVD BST 200mm 기판 공정에서 증착율 대 가열 온도의 그래프이다. 600℃의 가열 온도는 전구체의 실질적인 열화를 유발하지 않으면서 높은 증착율을 제공한다. 가열 온도는 약 300 내지 800℃로 변할 수 있다. 도 21에 도시된 예에서, 제 1전구체는 Ba:Sr의 몰비가 2:1인 부틸 아세테이트에서 Ba PMDET(thmd)2와 Sr PMDET(thmd)2의 혼합물이다. 제 2전구체는 Ba:Sr:Ti의 몰비가 2:1:4인 부틸 아세테이트에서 Ti(I-pr-o)(thmd)2이다. 기판은 Pt/SiO2/Si 기판이다. 220Å/분의 증착율은 200mg/m의 전체 액체 유량 및 1500sccm의 공정 가스 유량을 사용하여 600℃의 가열온도에서 달성된다(즉, 500sccm의 각각의 유량에서 산소, 질소, 및 아르곤의 조합). 본 발명에 따른 기화기가 또한 사용되는데, 여기서는 전구체를 위한 기화기 라인은 240℃로 유지된다.
도 21에 도시된 바와 같이, 가열 온도가 1℃ 증착할 때 증착율은 평균 1.3Å/분 씩 증가하는데, 이는 증착율이 온도에 매우 민감함을 나타낸다. 200Å/분 이상의 증착율은 높은 기화기 효율을 나타낸다.
150Å/분의 높은 증착율은 웨이퍼 내에 높은 균일성을 갖는 고재질의 박막을 제공할 수 있다. 550℃의 가열 온도는 470℃의 웨이퍼 온도 및 160Å/분의 증착율을 제공한다. 증착율이 169Å/분 정도 일 때 만족스러운 전기적 성질이 수득된다.
도 22는 도 21에 도시된 증착율의 로그 함수 대 1000°K에서 웨이퍼 가열 온도 분의 일의 그래프이다. 도 22에서 알 수 있는 바와 같이, 증착율에 대한 두 개의 별개 영역이 존재한다. 전구체의 임계 물질 전달율은 증착율의 로그값이 5 보다 크거나 같은 값 부근에 존재한다. 임계 표면 반응율은 증착율의 로그값이 약 4 보다 작거나 같은 영역에 존재한다. 이들 두 영역 사이의 전이는 약 550℃의 웨이퍼 온도 또는 470℃의 웨이퍼 온도에서 일어난다. 500 내지 550℃ 영역은 스텝 커버리지를 최적화하기 위해 양호한 균일성을 제공한다. 그 값은 온도를 간단히 변화시키고 증착율을 관측함으로써 수득되었다. PMDETA 전구체는 고분해율을 허용하며, 470℃의 웨이퍼 온도에서의 증착율 제어 반응에서 간단한 단일 변이를 통해 양호한 반응 메카니즘을 얻을 수 있다는 점이 중요하다.
도 23은 도 21에 대해 기술된 공정 조건을 사용하여 본 발명에 의해 제조된 고재질의 박막을 설명하고 있다. 1150Å, 550Å, 및 550Å 두께의 박막을 증착하기 위해 3번의 증착 과정이 이틀에 걸쳐서 수행되었다. 웨이퍼의 균일성은 티타늄 농도(몰%) 대 웨이퍼 수 뿐만 아니라 증착율(Å/분) 대 웨이퍼 수에 대한 그래프에 의해 알 수 있다. 이러한 그래프는 웨이퍼 대 웨이퍼 증착율이 균일하고 원하는 타겟 속도와 부합함을 나타내고 있다. 이러한 그래프는 또한 각각의 과정에서 여러 웨이퍼에 대한 Ti 농도의 급속한 변화를 나타내는데, 이는 공정을 개선시킬 수도 있다. 이러한 그래프는 또한 조성이 증착 시간에 민감하지 않음을 나타내고 있다. 도 23은 바륨, 스트론튬, 및 티타늄의 혼합물을 사용하고 기화기를 연속 유동 모드로 작동시킴으로써 개선될 수 있는 기밀 공정 제어를 나타내고 있다.
도 24는 증착 공정 동안 ±0.5의 웨이퍼 가열 온도에서 Ti의 민감도 시험에 대한 표이다. 이 표는 두 개의 별개의 기판에 대해 Ti, Ba, 및 Sr의 몰%를 나타내고 있다. Si 프라임(prime)은 이전에 사용되지 않은 실리콘을 의미한다. Si 리클레임(Recl)은 다른 공정으로부터 재생된 실리콘을 의미한다. Pt/OX 1 은 물리 기상 증착 기술을 사용하여 플라티늄을 스퍼터링한 산화실리콘 기판을 의미한다. Pt/OX 2 는 전자 비임 플라티늄으로써 특정화된 산화플라티늄 기판을 의미한다. 매트릭스 결과는 증착 동안 ±0.5℃가 6개의 경우 중 5개에서 최적의 반복성을 나타냄을 나타낸다. 또한, 매트릭스 결과는 기판이 Pt 대 Si에 대해 약 8 내지 10몰% Ti로 코팅되며, 기판의 민감도를 나타내도록 20% Ti(I-pr-O)에 대해 2몰% Ti로 코팅됨을 나타낸다.
도 25는 도 21에 대해 기술된 CVD BST 공정에서의 온도 대 Ti, Ba, 및 Sr의 조성 민감도의 그래프이며, 여기서 Ti, Ba, 및 Sr의 농도(몰%)는 웨이퍼 가열 온도에 대해 각각 정해진다. 약 600℃에서, 가열 온도가 2℃ 증가할 때 증착 박막에서의 Ti 농도는 1 몰% 증가한다. 약 600℃에서, 가열 온도가 2.5℃ 증가할 때, 증착 박막에서의 Ba의 농도는 1 몰% 증가한다. 약 600℃에서, 가열 온도가 10℃ 증가할 때, 증착 박막에서의 Sr의 농도는 1몰% 증가하는데, 이는 강한 온도 의존성을 나타낸다. 이러한 온도 의존성은 실질적으로 680℃의 가열 온도에서 감소된다.
본 발명의 바람직한 실시예에서, 전기적 성질 및 스텝 커버리지를 최적화시키기 위해 600 내지 750℃의 범위로 가열기를 유지시키는 것이 중요하다. 소정의 온도 범위에서 사용된 화학물이 양호한 결과를 얻을 수 있음을 알아 내었다. 특히, 폴리아민 기재 Ba 및 Sr 전구체 및 Ti(I-pr-o)는 본 발명에서 최적으로 작용할 것으로 여겨지는 전구체이다. ±0.50℃로의 웨이퍼 제어가 상기한 전구체에 대해 바람직하다.
예 1
본 발명에 따른 바람직한 공정은 가스 분배 샤워헤드 또는 페이스 플레이트로부터 550mils 이격된 가열된 기판 홀더 상에 장착된 200mm 웨이퍼 상에 BST 박막을 증착하는 것이다. 이러한 증착은 1.7토르에서 600℃의 가열 온도 및 다음의 유량으로 수행된다. 제 1전구체는 Ba:Sr의 몰비가 2:1인 부틸 아세테이트에서 Ba PMDET(thmd)2와 Sr PMDET(thmd)2의 혼합물로서, 33mg/분 내지 200mg/분의 유량을 갖는다. 제 2전구체는 Ba:Sr:Ti의 몰비가 2:1:4인 부틸 아세테이트에서 Ti(I-pr-o)(thmd)2로서, 17mg/분 내지 77mg/분의 유량을 갖는다. 기판은 Pt/SiO2/Si 기판이다. 40 내지 160Å/분의 증착율은 2900sccm의 공정 가스 유량을 사용하여 달성된다(즉, 500sccm의 O2, 1500sccm의 ArA, 및 대략 900sccm의 ArB의 조합체이다). 본 발명에 따른 기화기가 또한 사용되는데, 여기서 전구체를 위한 기화기 라인은 대략 240℃로 유지된다.
예 2
다른 예에서, 본 발명에 따른 공정은 가스 분배 샤워헤드로부터 550mils 이격된 가열된 기판 홀더 상에 장착된 200mm 웨이퍼 상에 BST 박막을 증착하는 것이다. 이러한 증착은 7토르에서 680℃의 가열 온도 및 다음의 유량으로 수행된다. 제 1전구체는 Ba:Sr의 몰비가 2:1인 부틸 아세테이트에서 Ba PMDET(thmd)2와 Sr PMDET(thmd)2의 혼합물로서, 33mg/분 내지 200mg/분의 유량을 갖는다. 제 2전구체는 Ba:Sr:Ti의 몰비가 2:1:4인 부틸 아세테이트에서 Ti(I-pr-o)(thmd)2로서, 17mg/분 내지 77mg/분의 유량을 갖는다. 기판은 Pt/SiO2/Si 기판이다. 151Å/분의 증착율은 1300sccm의 공정 가스 유량을 사용하여 달성된다(즉, 250sccm의 O2, 250sccm의 N2O, 500sccm의 ArA, 및 대략 300sccm의 ArB의 조합체이다). 본 발명에 따른 기화기가 또한 사용되는데, 여기서 전구체를 위한 기화기 라인은 대략 240℃로 유지된다. 도 26 및 도 27에 도시된 바와 같이, 두 개의 혼합 공정이 25개의 웨이퍼에 대해 반복적인 결과가 얻어짐을 알 수 있다.
예 3
다른 예에서, 시스템은 용매로서 아세톤을 사용하여 정화된다. 사용된 아세톤은 건조되지 않는다. 예 1에 개시된 것과 동일한 증착 공정이 수행된다. 증착율이 2x배 증가함이 관찰되는데, 이는 잔류 아세톤 용매가 전구체를 기판으로 이송할 때 안정화되고 높은 증착율을 얻을 수 있음을 나타낸다. 아세톤은 수소 결합을 통해 전구체를 안정화시킴으로써, 보다 많은 전구체가 반응하도록 기판 표면으로 이송되는 것으로 여겨진다.
예 4
증착 공정을 행하는 동안 아세톤과 같은 용매의 사용함으로써, 전구체를 안정화시킬 것이며, 보다 높은 증착율을 얻을 것이다.
비록 본 명세서는 본 발명의 바람직한 실시예에 대해서만 기술하였지만, 본 발명의 범위를 벗어나지 않으면서 본 발명의 다른 실시예가 구현될 수도 있다.

Claims (158)

  1. 박막 증착 공정 챔버로서,
    a) 하나 이상의 온도 제어된 표면을 갖춘 밀폐물을 형성하는 챔버 몸체와,
    b) 상기 챔버 몸체 상에 이동가능하게 장착된 덮개로서, 가열된 메인 몸체와 온도 제어된 외부 칼라를 갖춘 덮개와,
    c) 가스 분배 부재에 연결되어서 상기 가스 분배 부재로 하나 이상의 가스를 이송하도록 구성된 가스 매니폴드를 포함하는 가열 가스 분배 조립체와, 그리고
    d) 상기 챔버 몸체에 연결되고 내부에 온도 제어된 라이너를 갖춘 배출 포트를 포함하는 공정 챔버.
  2. 제 1항에 있어서, 상기 챔버 몸체는 하나 이상의 가스 통로를 한정하는 공정 챔버.
  3. 제 2항에 있어서, 상기 하나 이상의 가스 통로는 상기 챔버 몸체에 형성된 채널을 포함하며, 상기 가스 통로 중 적어도 하나는 상기 채널 내에 위치될 수 있는 온도 제어된 급송체를 포함하며, 상기 온도 제어된 급송체는 내부에 가열 요소를 갖추고 있는 공정 챔버.
  4. 제 3항에 있어서, 상기 덮개의 메인 몸체는 열전도성 재료로 제조되며, 상기 덮개의 메인 몸체 내에는 상기 덮개의 온도와 인접해 있는 가스 분배 조립체의 온도를 모니터링하기 위한 온도계가 배치되어 있는 공정 챔버.
  5. 제 3항에 있어서, 상기 하나 이상의 가스 통로는 유입부 및 배출부를 포함하며, 상기 배출부는 상기 가스 매니폴드 내의 가스 통로와 밀봉식 가스 통로를 형성하도록 구성된 공정 챔버.
  6. 제 4항에 있어서, 상기 덮개의 메인 몸체는 약 20℃ 보다 높은 온도로 유지가능하며, 상기 외부 칼라는 약 250℃ 미만의 온도로 유지가능한 공정 챔버.
  7. 제 6항에 있어서, 상기 외부 칼라에 형성된 냉각제 채널을 더 포함하는 공정 챔버.
  8. 제 7항에 있어서, 상기 덮개의 외부 칼라와 상기 챔버 몸체 사이에 배치된 단열 밀봉부를 더 포함하는 공정 챔버.
  9. 제 1항에 있어서, 상기 하나 이상의 온도 제어된 표면은 상기 챔버 내에 위치가능한 라이너를 포함하는 공정 챔버.
  10. 제 9항에 있어서, 상기 라이너는 상기 라이너의 하부 상에 배치된 하나 이상의 지지물을 지지하는 공정 챔버.
  11. 제 10항에 있어서, 상기 라이너는 상기 챔버에서 열적으로 부유하는 공정 챔버.
  12. 제 9항에 있어서, 상기 라이너는 내부에 가열 요소를 포함하며, 상기 라이너는 상기 챔버 내에서 하나 이상의 지지물 상에 지지되어 있으며, 상기 가열 요소는 전력원에 연결되어 있는 공정 챔버.
  13. 제 12항에 있어서, 상기 라이너는 티타늄, 스테인레스강, 니켈, 알루미늄, 산화알루미늄, 바륨 스트론튬 티타네이트, 질화알루미늄, 탄화규소, 또는 그의 조합체로 구성된 그룹으로부터 선택된 재료로 제조되는 공정 챔버.
  14. 제 13항에 있어서, 상기 라이너는 약 50℃ 이상의 온도에서 유지될 수 있는 공정 챔버.
  15. 제 1항에 있어서, 상기 덮개는 열전도성이 제한된 온도 제어된 외부 칼라를 형성하도록 상기 덮개의 메인 몸체와 상기 온도 제어된 외부 칼라 사이에 채널을 한정하는 공정 챔버.
  16. 제 15항에 있어서, 상기 채널 내에 배치된 지지 부재를 더 포함하는 공정 챔버
  17. 제 16항에 있어서, 상기 덮개는 알루미늄으로 제조되며, 상기 지지부재는 스테인레스강으로 제조되는 공정 챔버.
  18. 제 17항에 있어서, 상기 덮개는 상기 외부 칼라 상에 하나 이상의 냉각 통로를 갖춘 하부 장착 표면을 더 포함하는 공정 챔버.
  19. 제 18항에 있어서, 상기 덮개는 상기 메인 몸체 부재에 인접하게 배치된 가열 요소를 더 포함하는 공정 챔버.
  20. 제 19항에 있어서, 상기 덮개는 상기 메인 몸체 부재 상에 배치된 냉각 플레이트를 더 포함하는 공정 챔버.
  21. 제 1항에 있어서, 상기 챔버 몸체는 상기 챔버 몸체를 관통하며 상기 하나 이상의 가스 매니폴드에 연결된 하나 이상의 가스 채널을 더 포함하는 공정 챔버.
  22. 제 21항에 있어서, 상기 챔버 몸체에 형성된 상기 가스 채널 중 적어도 하나에 연결된 기화기를 더 포함하는 공정 챔버.
  23. 제 22항에 있어서, 상기 기화기는.
    a) 하나 이상의 유입부와,
    b) 다수의 기화 표면을 갖춘 다수의 유체 통로를 한정하는 온도 제어된 몸체 부재와, 그리고
    c) 상기 하나 이상의 유체 통로에 연결된 하나 이상의 배출부를 포함하는 공정 챔버.
  24. 제 23항에 있어서, 상기 기화기의 상기 몸체 부재는 하나 이상의 가열 요소를 포함하는 공정 챔버.
  25. 제 24항에 있어서, 상기 기화기는 상기 기화기의 온도를 모니터링하기 위해 내부에 배치된 하나 이상의 온도계를 더 포함하는 공정 챔버.
  26. 제 23항에 있어서, 상기 유입부는 노즐과, 상기 노즐 주위에 배치된 둘레 유체 통로를 포함하며, 상기 다수의 기화 표면은 상기 하나 이상의 유체 통로를 따라 배치된 다수의 핀을 포함하는 공정 챔버.
  27. 제 22항에 있어서, 상기 기화기에 연결된 상기 하나 이상의 가압된 용기를 더 포함하는 공정 챔버.
  28. 제 27항에 있어서, 상기 하나 이상의 용기는 테이퍼형 내부 챔버 하부를 갖추고 있는 공정 챔버.
  29. 제 28항에 있어서, 상기 용기는 부피 검출기를 더 포함하는 공정 챔버.
  30. 제 29항에 있어서, 상기 부피 검출기는 초음파식 검출기를 포함하는 공정 챔버.
  31. 제 27항에 있어서, 상기 용기는 최대 약 500psig 까지 충진가능한 공정 챔버.
  32. 제 23항에 있어서, 상기 기화기의 하나 이상의 배출부와 상기 챔버 몸체에 형성된 하나 이상의 유체 채널 사이에 연결된 바이패스 라인을 더 포함하는 공정 챔버.
  33. 제 32항에 있어서, 상기 바이패스 라인은 배출 펌프에 연결되며, 상기 바이패스 라인 내에서 상기 배출 펌프로부터 상류에 냉각 트랩이 배치된 공정 챔버.
  34. 제 33항에 있어서, 상기 배출부, 상기 유체 채널, 및 상기 바이패스 라인 사이에 배치된 밸브 부재를 더 포함하는 공정 챔버.
  35. 제 1항에 있어서, 상기 챔버 내에 배치된 기판 지지부재를 더 포함하는 공정 챔버.
  36. 제 35항에 있어서, 상기 기판 지지부재는 내부에 배치된 전극 및 상기 전극에 연결된 전력원을 포함하는 공정 챔버.
  37. 제 36항에 있어서, 상기 챔버 내에서 상기 기판 지지부재의 에지 둘레에 배치된 실드 링을 더 포함하는 공정 챔버.
  38. 제 37항에 있어서, 상기 실드 링은 상기 증착 재료와 대략 동일한 열팽창계수를 갖는 재료로 제조되는 공정 챔버
  39. 제 38항에 있어서, 상기 실드 링은 티타늄, 산화알루미늄, 또는 그의 조합체로부터 선택된 재료로 제조되는 공정 챔버.
  40. 제 5항에 있어서, 상기 가열 가스 온도와 상기 가스 매니폴드 사이에 형성된 밀봉은 스프링 하중 밀봉을 포함하는 공정 챔버.
  41. 제 40항에 있어서, 상기 스프링 하중 밀봉은 테플론을 포함하는 공정 챔버.
  42. 제 1항에 있어서, 상기 배출 포트 내에 배치된 상기 제 1 및 제 2배출 통로를 더 포함하는 공정 챔버.
  43. 제 42항에 있어서, 상기 제 1배출 통로에 연결되고 밸브 부재에 의해 상기 제 1배출 통로로부터 선택적으로 격리될 수 있는 고진공 펌프를 더 포함하는 공정 챔버.
  44. 제 43항에 있어서, 상기 제 2배출 통로에 연결된 배출 펌프를 더 포함하는 공정 챔버.
  45. 제 44항에 있어서, 상기 배출 펌프와 상기 배출 포트 사이에 배치된 제거가능한 냉각 트랩을 더 포함하는 공정 챔버.
  46. 제 43항에 있어서, 상기 밸브 부재가 게이트 밸브를 포함하는 공정 챔버.
  47. 제 3항에 있어서, 상기 가스 분배 조립체는 상기 덮개 상에 장착되고, 밀봉된 유체 유동을 제공하도록 상기 가열 가스 통로와 밀봉을 형성하는 공정 챔버.
  48. 공정 챔버용 덮개로서,
    a) 둘레 열제한 채널을 한정하는 메인 몸체로서, 상기 열제한 채널로부터 외부에 배치된 외벽 상에 배치된 챔버 장착면을 갖춘 메인 몸체와,
    b) 상기 채널 내에 배치된 열제한 지지부재와, 그리고
    c) 상기 메인 몸체 상에 배치된 가스 분배 조립체를 포함하는 덮개.
  49. 제 48항에 있어서, 상기 가스 분배 조립체는,
    a) 상기 메인 몸체 상에 배치되고 내부에 하나 이상의 가스 통로를 한정하는 가스 매니폴드와, 그리고
    b) 상기 가스 매니폴드에 연결되고 제 1 및 제 2가스 분배 표면을 갖춘 가스 분배 플레이트를 포함하는 덮개.
  50. 제 49항에 있어서, 상기 가스 매니폴드는 제 1 및 제 2가스 통로를 포함하며, 상기 제 1 및 제 2가스 통로는 상기 제 1 및 제 2가스 통로의 인접한 단부 사이에 배치된 제한 통로에 의해 연결되어 있는 덮개.
  51. 제 50항에 있어서, 상기 가스 분배 플레이트는 내부에 형성된 하나 이상의 열전달 채널을 더 포함하는 덮개.
  52. 제 51항에 있어서, 상기 메인 몸체 상에 배치된 가열 요소를 더 포함하는 덮개.
  53. 제 52항에 있어서, 상기 메인 몸체 상에 배치된 커버 플레이트를 더 포함하는 덮개.
  54. 제 53항에 있어서, 상기 커버 플레이트는 내부에 하나 이상의 열전달 채널을 더 포함하는 덮개.
  55. 제 49항에 있어서, 상기 메인 몸체 상에 배치되고 상기 가스 분배 조립체에 연결된 기화기를 더 포함하는 덮개.
  56. 제 55항에 있어서, 상기 가스 분배 조립체는 유입부와, 제 1 및 제 2가스 분배 표면을 갖춘 가스 분배 플레이트를 포함하는 덮개.
  57. 제 56항에 있어서, 상기 가스 분배 플레이트는 내부에 형성된 하나 이상의 열전달 채널을 더 포함하는 덮개.
  58. 제 57항에 있어서, 상기 메인 몸체 상에 배치된 가열 요소를 더 포함하는 덮개.
  59. 제 58항에 있어서, 상기 메인 몸체 상에 배치된 커버 플레이트를 더 포함하는 덮개.
  60. 제 59항에 있어서, 상기 커버 플레이트는 내부에 하나 이상의 열전달 채널을 더 포함하는 덮개.
  61. 제 55항에 있어서, 상기 가스 매니폴드에 인접하게 위치되고 내부에 배치된 온도계를 더 포함하는 덮개.
  62. 제 60항에 있어서, 상기 가스 매니폴드에 인접하게 위치되고 내부에 배치된 온도계를 더 포함하는 덮개.
  63. 제 55항에 있어서, 상기 챔버 장착 표면에 인접하게 배치된 열전달 채널을 더 포함하는 덮개.
  64. 제 61항에 있어서, 상기 챔버 장착 표면에 인접하게 배치된 열전달 채널을 더 포함하는 덮개.
  65. 제 50항에 있어서, 상기 가스 매니폴드는 상기 가스 분배 플레이트를 장착하는 상기 가스 매니폴드의 하부 상에 장착된 컨덕턴스 가이드부를 더 포함하는 덮개.
  66. 제 65항에 있어서, 상기 컨덕턴스 가이드부는 상기 가이드부와 상기 가스 분배 플레이트 사이에서 가스가 유동하는 것을 방지하기 위해 상기 가스 분배 플레이트와 밀봉을 형성하는 덮개.
  67. 제 51항에 있어서, 상기 가스 매니폴드는 제 1 및 제 2가스 통로를 포함하며, 상기 제 1 및 제 2가스 통로는 상기 제 1 및 제 2가스 통로의 인접한 단부 사이에 배치된 제한 통로에 의해 연결되어 있는 덮개.
  68. 제 67항에 있어서, 상기 가스 분배 플레이트는 내부에 형성된 하나 이상의 열전달 채널을 더 포함하는 덮개.
  69. 제 68항에 있어서, 상기 메인 몸체 상에 배치된 가열 요소를 더 포함하는 덮개.
  70. 제 69항에 있어서, 상기 메인 몸체 상에 배치된 커버 플레이트를 더 포함하는 덮개.
  71. 제 70항에 있어서, 상기 커버 플레이트는 내부에 하나 이상의 열전달 채널을 더 포함하는 덮개.
  72. 하나 이상의 액체를 기화시키기 위한 기화 장치로서,
    a) 유입부 및 배출부를 갖춘 하나 이상의 유체 통로를 한정하는 몸체와,
    b) 상기 유체 통로 내에 배치된 다수의 기화 표면과,
    c) 상기 몸체에 인접하게 배치된 가열 부재와, 그리고
    d) 상기 하나 이상의 액체를 상기 다수의 기화 표면으로 이송하기 위해 상기 유체 통로의 유입부에 배치된 액체 분사 부재를 포함하는 기화 장치.
  73. 제 72항에 있어서, 상기 액체 분사 부재 주위에 배치된 캐리어 가스 통로를 더 포함하는 기화 장치.
  74. 제 73항에 있어서, 상기 다수의 기화 표면은 상기 몸체를 통과하는 미로형 경로를 한정하는 기화 장치.
  75. 제 72항에 있어서, 상기 몸체는 제 1 및 제 2블록을 포함하며, 상기 각각의 블록은 서로 인접하게 장착될 때 하나 이상의 유체 통로를 한정하기 위해 한세트의 보조 기화 표면을 한정하는 기화 장치.
  76. 제 75항에 있어서, 상기 가열 부재는 각각의 블록 상에 배치되는 기화 장치.
  77. 제 76항에 있어서, 상기 액체 분사 부재가 모세관 튜브인 기화 장치.
  78. 제 74항에 있어서, 상기 다수의 기화 표면은 미로형 경로를 형성하기 위해 상기 유체 통로 내에 배치된 다수의 핀을 포함하는 기화 장치.
  79. 제 74항에 있어서, 상기 다수의 기화 표면은 주름진 표면을 포함하는 기화 장치.
  80. 제 77항에 있어서, 상기 유체 통로의 유입부에 인접하게 배치된 온도계를 더 포함하는 기화 장치.
  81. 제 72항에 있어서, 상기 기화기의 메인 몸체에 연결가능하며, 하나 이상의 통로가 관통해 있는 액체 분사 부재 하우징을 더 포함하는 기화 장치.
  82. 제 81항에 있어서, 상기 액체 분사 부재는 상기 하우징에 형성된 통로 중 하나에 배치되는 기화 장치.
  83. 제 82항에 있어서, 상기 액체 분사 부재 둘레의 통로에 형성된 가스 채널을 더 포함하는 기화 장치.
  84. 제 81항에 있어서, 상기 하우징은 열적 초크를 더 포함하는 기화 장치.
  85. 제 84항에 있어서, 상기 하나 이상의 유체 통로의 유입부에 액체 분사 튜브의 팁이 배치되어 있는 기화 장치.
  86. 제 85항에 있어서, 상기 액체 분사 튜브의 팁의 온도는 상기 하우징을 통해 상기 튜브를 배치함으로써 제어되는 기화 장치.
  87. 제 86항에 있어서, 상기 액체 분사 부재 둘레에 배치된 가스 유입 통로를 더 포함하는 기화 장치.
  88. 제 87항에 있어서, 상기 몸체는 제 1 및 제 2블록을 포함하며, 상기 각각의 블록은 서로 인접하게 장착될 때 하나 이상의 유체 통로를 한정하기 위해 한세트의 보조 기화 표면을 한정하는 기화 장치.
  89. 제 88항에 있어서, 상기 가열 부재는 각각의 블록 상에 배치되는 기화 장치.
  90. 제 89항에 있어서, 상기 액체 분사 부재가 모세관 튜브인 기화 장치.
  91. 제 90항에 있어서, 상기 다수의 기화 표면은 미로형 경로를 형성하기 위해 상기 유체 통로 내에 배치된 다수의 핀을 포함하는 기화 장치.
  92. 제 90항에 있어서, 상기 다수의 기화 표면은 주름진 표면을 포함하는 기화 장치.
  93. 제 90항에 있어서, 상기 유체 통로의 유입부에 인접하게 배치된 온도계를 더 포함하는 기화 장치.
  94. 제 90항에 있어서, 상기 액체 분사 부재에 연결된 하나 이상의 액체 분사 라인을 더 포함하는 기화 장치.
  95. 제 93항에 있어서, 상기 액체 분사 라인은 저마찰계수를 갖는 기화 장치.
  96. 제 94항에 있어서, 상기 액체 분사 라인은 PTFE로 제조되는 기화 장치.
  97. 제 96항에 있어서, 상기 액체 분사 튜브는 약 100mg/분 미만의 유량에서 액체 유동의 안정성을 제공하도록 구성된 기화 장치.
  98. 제 77항에 있어서, 상기 모세관 튜브는 약 100mg/분 미만의 액체 분사 유량에서 초음속으로 하나 이상의 액체를 상기 기화 표면 상으로 이송하도록 구성된 기화 장치.
  99. 제 98항에 있어서, 상기 모세관 튜브는 약 5 mils 이상의 상부 내경과 1 mil 이상의 하부 내경을 갖는 기화 장치.
  100. 공정 챔버용 펌핑 조립체로서,
    a) 상기 챔버에 장착가능한 하우징으로서, 내부에 하나 이상의 포트를 한정하는 하우징과,
    b) 상기 하우징에 배치되고 상기 하우징에 장착될 수 있는 제거가능한 온도 제어된 라이너와, 그리고
    c) 상기 하우징에 형성된 상기 포트 중 적어도 하나에 유체식으로 장착된 펌핑 시스템을 포함하는 펌핑 조립체.
  101. 제 100항에 있어서, 상기 라이너는 상기 하우징의 제 1단부에 장착되고, 상기 챔버는 상기 하우징의 제 2단부에 장착되는 펌핑 조립체.
  102. 제 101항에 있어서, 상기 펌핑 시스템은 상기 하우징의 제 1배출 포트에 연결된 제 1펌프와, 상기 하우징의 제 2배출 포트에 연결된 제 2펌프를 포함하는 펌핑 조립체.
  103. 제 102항에 있어서, 상기 제 2펌프는 상기 펌프로부터 상류에 배치된 밸브를 통해 상기 하우징과 선택적으로 연통할 수 있는 펌핑 조립체.
  104. 제 103항에 있어서, 상기 제 2펌프가 고진공 펌프인 펌핑 조립체.
  105. 제 102항에 있어서, 상기 펌프로부터 상류에 배치된 냉각 트랩을 더 포함하는 펌핑 조립체.
  106. 제 100항에 있어서, 상기 라이너는 상기 라이너의 길이를 따라 형성된 하나 이상의 채널을 포함하는 펌핑 조립체.
  107. 제 106항에 있어서, 상기 채널 내의 상기 라이너에 배치된 하나 이상의 가열 요소를 더 포함하는 펌핑 조립체.
  108. 제 107항에 있어서, 상기 라이너는 상기 채널 내의 상기 라이너에 배치된 하나 이상의 온도계를 더 포함하는 펌핑 조립체.
  109. 제 107항에 있어서, 상기 라이너는 평행하게 연결된 다수의 가열 요소를 포함하는 펌핑 조립체.
  110. 제 105항에 있어서, 상기 냉각 트랩은,
    a) 하우징과,
    b) 상기 하우징 내에 배치되고, 상기 중앙 가스 통로에 연결되고 일단부에 배치된 하나 이상의 유체 통로 및 상기 유체 통로에 인접하게 형성된 냉각제 채널을 갖춘 몸체와, 그리고
    c) 상기 중앙 통로와 유체식으로 연결된 배출 포트를 포함하는 펌핑 조립체.
  111. 제 107항에 있어서, 상기 라이너는 상기 라이너의 적어도 하나의 단부 상에 배치된 하나 이상의 가이드 부재를 더 포함하는 펌핑 조립체.
  112. 제 111항에 있어서, 상기 가이드 부재는 내마모성 재료로 제조된 삽입부를 포함하는 펌핑 조립체.
  113. 제 112항에 있어서, 상기 재료는 테플론으로 제조되는 펌핑 조립체.
  114. 공정 챔버용 가스 급송체로서,
    a) 유입부 및 배출부를 갖추고 있고, 상기 챔버와 밀봉을 형성하도록 어깨부를 한정하는 도관과, 그리고
    b) 상기 도관의 길이를 따라 배치된 가열 요소를 포함하는 가스 급송체.
  115. 제 114항에 있어서, 상기 가열 요소에 인접하게 배치된 온도계를 더 포함하는 가스 급송체.
  116. 제 114항에 있어서, 상기 가열 요소가 상기 도관 주위에 배치된 케이블 가열기인 가스 급송체.
  117. 제 114항에 있어서, 상기 가스 유입부는 상기 유입부를 유체 소오스에 연결시키기 위한 피팅물이 장착되어 있는 가스 급송체.
  118. 제 117항에 있어서, 상기 가스 배출부는 밀봉을 지지하기 위해 인접하게 형성된 채널을 갖춘 상부 표면을 포함하는 가스 급송체.
  119. 제 118항에 있어서, 상기 도관은 상기 챔버 내에 상기 급송체를 고정시키기 위해 상기 도관의 일단부에 나사가 형성되어 있는 가스 급송체.
  120. 제 112항에 있어서, 상기 도관은,
    a) 상기 장착 어깨부를 한정하는 상부 부분을 갖춘 외부 쉘과,
    b) 유체 통로를 형성하고 상기 가열 요소가 인접하게 배치된 중앙 튜브와, 그리고
    c) 상기 외부 쉘과 상기 중앙 튜브 사이에 적어도 부분적으로 형성된 보이드를 포함하는 가스 급송체.
  121. 제 120항에 있어서, 상기 중앙 튜브는 상기 외부 쉘의 상부 표면 상에 장착되는 가스 급송체.
  122. 제 121항에 있어서, 상기 중앙 튜브는 제 2표면과 밀봉을 형성하도록 상부 장착 표면을 포함하는 가스 급송체.
  123. 제 122항에 있어서, 상기 상부 장착 표면은 내부에 밀봉 그루브를 한정하는 가스 급송체.
  124. 제 123항에 있어서, 상기 외부 쉘은 통로에 상기 외부 쉘을 고정시키기 위해 상부에 너트를 수용하기 위한 하부 나사부를 더 포함하는 가스 급송체.
  125. 제 124항에 있어서, 상기 외부 쉘 및 상기 중앙 튜브는 상기 외부 쉘의 상부 표면에 용접되는 가스 급송체.
  126. 제 125항에 있어서, 상기 외부 쉘은 상기 장착 어깨부 상에 형성된 밀봉 그루브를 더 포함하는 가스 급송체.
  127. 제 126항에 있어서, 가스 소오스를 연결시키기 위해 상기 중앙 튜브의 하단부 상에 배치된 연결기를 더 포함하는 가스 급송체.
  128. 공정 챔버로 유체를 이송하기 위한 이송 장치로서,
    내부에 적어도 제 1 및 제 2가스 통로를 한정하는 가스 매니폴드를 포함하며, 상기 제 1 및 제 2가스 통로가 유입부 및 배출부를 갖추고 있고, 상기 제 2유체 통로의 배출부가 상기 제 1유체 통로의 배출부 내에 배치되어 있는 이송 장치.
  129. 제 128항에 있어서, 상기 제 2가스 통로의 배출부로부터 상기 제 1가스 통로의 배출부로 하나 이상의 가스를 이송시키기 위해 상기 제 1 및 제 2유체 통로 사이에 형성된 제한 통로를 더 포함하는 이송 장치.
  130. 제 129항에 있어서, 상기 제한 통로는 고속으로 상기 제 2유체 통로를 통해 상기 제 1유체 통로의 배출부로 이송시킬 수 있는 크기를 갖는 이송 장치.
  131. 제 130항에 있어서, 상기 가스 매니폴드 상에 장착되고 상기 제 1유체 통로의 배출부에 연결된 가스 분배 조립체를 더 포함하며, 상기 가스 분배 플레이트는 제 1 및 제 2가스 분배 플레이트를 포함하는 이송 장치.
  132. 제 129항에 있어서, 상기 가스 매니폴드 상에 장착된 컨덕턴스 가이드부를 더 포함하는 이송 장치.
  133. 제 132항에 있어서, 상기 컨덕턴스 가이드부 상에 장착된 가스 분배 플레이트를 더 포함하는 이송 장치.
  134. 제 133항에 있어서, 상기 컨덕턴스 가이드부가 상기 가스 매니폴드 상에 장착된 환형 플레이트인 이송 장치.
  135. 제 128항에 있어서, 상기 가스 매니폴드는 적어도 제 1 및 제 2가스 통로를 챔버 내에 형성된 하나 이상의 가스 통로와 밀봉식으로 연결하기 위한 챔버 장착 표면을 포함하며, 상기 가스 매니폴드는 상기 가스 매니폴드 내로 하나 이상의 가스를 이송하도록 구성된 이송 장치.
  136. 제 135항에 있어서, 상기 챔버 장착 표면 및 상기 컨덕턴스 가이드부는 상기 가스 분배 플레이트를 장착하기 위한 슬롯을 한정하는 이송 장치.
  137. 제 136항에 있어서, 상기 가스 분배 플레이트는 제 1 및 제 2가스 분배 표면을 더 포함하는 이송 장치.
  138. 박막 증착 방법으로서,
    a) 하나 이상의 액체 전구체를 기화기로 이송하는 단계와,
    b) 상기 하나 이상의 액체 전구체를 기화시키는 단계와,
    c) 상기 기화 공정을 안정화시키기 위해 배출 시스템에 연결된 바이패스 라인을 통해 상기 기화된 전구체를 선택적으로 이송시키는 단계와,
    d) 기판 상에 박막을 증착시키기 위해 상기 기화된 전구체를 증착 챔버로 선택적으로 이송시키는 단계와, 그리고
    e) 기판 이송 공정 동안 상기 배출 시스템에 연결된 상기 바이패스 라인을 통해 상기 기화된 전구체를 선택적으로 이송시키는 단계를 포함하는 방법.
  139. 제 138항에 있어서, 상기 기화된 전구체는 상기 기화기로부터 하류에 배치된 밸브에 의해 선택적으로 이송되는 방법.
  140. 제 139항에 있어서, 상기 배출 시스템으로 선택적으로 이송된 상기 기화된 전구체는 하나 이상의 필터에 의해 여과되는 방법.
  141. 제 140항에 있어서, 상기 하나 이상의 필터는 하나 이상의 냉각 트랩을 포함하는 방법.
  142. 제 138항에 있어서, 상기 기화기는 약 100℃ 이상의 온도에서 유지되는 방법.
  143. 액체를 이송하기 위한 이송 시스템으로서,
    a) 배출부를 갖추고 있고 하나 이상의 액체를 포함하는 하나 이상의 가압된 용기와,
    b) 상기 하나 이상의 용기의 배출부에 연결된 밸브로서, 제로 불감부피를 갖도록 구성된 밸브를 포함하는 이송 시스템.
  144. 제 143항에 있어서, 상기 용기 내부에 압력을 제공하기 위해 불활성 가스가 상기 용기 내로 이송되는 이송 시스템.
  145. 제 144항에 있어서, 밸브에서 상기 용기의 배출부에 연결된 액체 이송 라인을 더 포함하는 이송 시스템.
  146. 제 145항에 있어서, 상기 밸브는 제로 불감부피 밸브인 이송 시스템.
  147. 제 146항에 있어서, 상기 액체 이송 라인으로 용매를 선택적으로 이송하기 위해 밸브에서 상기 액체 이송 라인에 연결된 용매 라인을 더 포함하는 이송 시스템.
  148. 제 147항에 있어서, 상기 액체 이송 라인으로 퍼지 가스를 선택적으로 이송하기 위해 밸브에서 상기 액체 이송 라인에 연결된 퍼지 가스 라인을 더 포함하는 이송 시스템.
  149. 제 148항에 있어서, 하나 이상의 액체를 기화기로 선택적으로 이송시키기 위한 액체 유동 제어기를 더 포함하는 이송 시스템.
  150. 제 149항에 있어서, 상기 액체 이송 라인 상에 배치된 압력 게이지를 더 포함하는 이송 시스템.
  151. 액체를 필터링하기 위한 필터링 장치로서,
    a) 하우징과,
    b) 상기 하우징 내에 배치가능한 필터링 부재로서, 내부에 하나 이상의 온도 제어된 유체 통로를 갖춘 필터링 부재를 포함하는 필터링 장치.
  152. 제 151항에 있어서, 상기 필터링 부재는 챔버를 한정하는 몸체 부재와, 상기 몸체 부재와 상기 챔버의 일단부에 연결된 하나 이상의 유체 통로를 더 포함하는 필터링 장치.
  153. 제 152항에 있어서, 상기 하나 이상의 유체 통로는 상기 통로의 막힘을 방지하고 상기 유체로부터 재료를 여과시킬 수 있는 크기를 갖도록 구성된 필터링 장치.
  154. 제 153항에 있어서, 상기 하나 이상의 통로는 높은 전도도를 제공할 수 있는 배열 및 크기를 갖는 필터링 장치.
  155. 공정 영역을 라이닝하기 위한 라이닝 장치로서,
    a) 상기 공정 영역 내로 삽입될 수 있는 크기로 구성된 제거가능한 삽입물과,
    b) 상기 삽입물 내에 배치된 가열 부재와, 그리고
    c) 상기 가열 요소로 전력을 커플링하기 위한 전기적 연결부를 포함하는 라이닝 장치.
  156. 제 155항에 있어서, 상기 삽입물은 내부에 하나 이상의 지지물을 갖춘 환형 실드를 포함하는 라이닝 장치.
  157. 제 156항에 있어서, 상기 삽입물은 금속, 세라믹, 또는 석영으로 구성된 그룹으로부터 선택된 재료로 제조되는 라이닝 장치.
  158. 제 156항에 있어서, 상기 삽입물이 알루미늄으로 제조된 라이닝 장치.
KR1020007002570A 1997-09-11 1998-09-03 기화 및 증착 장치 및 방법 KR20010023887A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/927,700 1997-09-11
US08/927,700 US6258170B1 (en) 1997-09-11 1997-09-11 Vaporization and deposition apparatus
PCT/US1998/018457 WO1999013545A2 (en) 1997-09-11 1998-09-03 Vaporization and deposition apparatus and process

Publications (1)

Publication Number Publication Date
KR20010023887A true KR20010023887A (ko) 2001-03-26

Family

ID=25455110

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007002570A KR20010023887A (ko) 1997-09-11 1998-09-03 기화 및 증착 장치 및 방법

Country Status (6)

Country Link
US (10) US6258170B1 (ko)
EP (1) EP1018199A2 (ko)
JP (3) JP4901000B2 (ko)
KR (1) KR20010023887A (ko)
TW (1) TW576872B (ko)
WO (1) WO1999013545A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100685798B1 (ko) * 2005-11-30 2007-02-22 주식회사 아이피에스 박막증착용 기화유니트
WO2010131878A2 (ko) * 2009-05-11 2010-11-18 에스엔유 프리시젼 주식회사 기판 처리 시스템
KR101320706B1 (ko) * 2004-05-25 2013-10-21 에드워즈 리미티드 퍼지 가스 공급 방법, 퍼지 가스 공급 장치 및 키트
KR101851509B1 (ko) * 2017-11-20 2018-06-11 이상선 라이닝이 형성된 밸브 본체를 가지는 밸브의 제조방법

Families Citing this family (505)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) * 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6216708B1 (en) * 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
JP3470055B2 (ja) * 1999-01-22 2003-11-25 株式会社渡邊商行 Mocvd用気化器及び原料溶液の気化方法
US6605475B1 (en) * 1999-04-16 2003-08-12 Perspective Biosystems, Inc. Apparatus and method for sample delivery
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
JP2000345345A (ja) * 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
DE19932630C2 (de) * 1999-07-13 2003-12-04 Perkin Elmer Bodenseewerk Zwei Einheit für eine Plasma-Atomisierungsvorrichtung mit Plasma-Gaszuführeinrichtung, Probenzerstäubereinrichtung und Probeninjektionseinrichtung
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
US6444478B1 (en) * 1999-08-31 2002-09-03 Micron Technology, Inc. Dielectric films and methods of forming same
KR100649852B1 (ko) * 1999-09-09 2006-11-24 동경 엘렉트론 주식회사 기화기 및 이것을 이용한 반도체 제조 시스템
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
AU1606101A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Materials and gas chemistries for processing systems
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
EP1313890B1 (en) * 2000-04-06 2006-10-11 ASM America, Inc. Barrier coating for vitreous materials
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6558517B2 (en) * 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
WO2002002842A2 (en) * 2000-06-29 2002-01-10 Applied Materials, Inc. Low temperature cvd bst deposition
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
KR20020020508A (ko) * 2000-09-09 2002-03-15 윤종용 화학기상증착장치
US8741194B1 (en) 2000-09-25 2014-06-03 Voxeljet Ag Method for producing a part using a depostion technique
DE10047615A1 (de) * 2000-09-26 2002-04-25 Generis Gmbh Wechselbehälter
DE10047614C2 (de) * 2000-09-26 2003-03-27 Generis Gmbh Vorrichtung zum schichtweisen Aufbau von Modellen
DE10049043A1 (de) * 2000-10-04 2002-05-02 Generis Gmbh Verfahren zum Entpacken von in ungebundenem Partikelmaterial eingebetteten Formkörpern
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1211333A3 (en) * 2000-12-01 2003-07-30 Japan Pionics Co., Ltd. Vaporizer for CVD apparatus
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US6566147B2 (en) * 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
DE10117875C1 (de) * 2001-04-10 2003-01-30 Generis Gmbh Verfahren, Vorrichtung zum Auftragen von Fluiden sowie Verwendung einer solchen Vorrichtung
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6637212B2 (en) 2001-04-27 2003-10-28 Matheson Tri-Gas Method and apparatus for the delivery of liquefied gases having constant impurity levels
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE10126807C2 (de) * 2001-06-01 2003-12-04 Pari Gmbh Inhalationstherapiegerät mit einem Ventil zur Begrenzung des Inspirationsflusses
US20030012875A1 (en) * 2001-07-10 2003-01-16 Shreyas Kher CVD BST film composition and property control with thickness below 200 A for DRAM capacitor application with size at 0.1mum or below
US20030017266A1 (en) * 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6838122B2 (en) * 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US7334708B2 (en) * 2001-07-16 2008-02-26 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Integral blocks, chemical delivery systems and methods for delivering an ultrapure chemical
US6889627B1 (en) * 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US7011978B2 (en) * 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
WO2003021644A1 (en) * 2001-08-28 2003-03-13 Hyundai Semiconductor America, Inc. Chamber shields for a plasma chamber
US8535759B2 (en) * 2001-09-04 2013-09-17 The Trustees Of Princeton University Method and apparatus for depositing material using a dynamic pressure
US7404862B2 (en) * 2001-09-04 2008-07-29 The Trustees Of Princeton University Device and method for organic vapor jet deposition
US7431968B1 (en) * 2001-09-04 2008-10-07 The Trustees Of Princeton University Process and apparatus for organic vapor jet deposition
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6528420B1 (en) * 2002-01-18 2003-03-04 Chartered Semiconductor Manufacturing Ltd. Double acting cold trap
US7003215B2 (en) * 2002-01-21 2006-02-21 Air Products And Chemicals, Inc. Vapor flow controller
US6613587B1 (en) * 2002-04-11 2003-09-02 Micron Technology, Inc. Method of replacing at least a portion of a semiconductor substrate deposition chamber liner
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
DE10222167A1 (de) 2002-05-20 2003-12-04 Generis Gmbh Vorrichtung zum Zuführen von Fluiden
NL1020748C2 (nl) * 2002-06-04 2003-12-08 Stichting Energie Werkwijze en inrichting voor het kleuren van een laag van een nanokristallijn materiaal.
DE10224981B4 (de) 2002-06-05 2004-08-19 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
US6776850B2 (en) * 2002-06-08 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd Preventative maintenance aided tool for CVD chamber
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US7311942B2 (en) 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
KR20050057540A (ko) * 2002-09-23 2005-06-16 바스프 악티엔게젤샤프트 고 유전 상수를 갖는 산화물 재료의 박막
US6908499B2 (en) * 2002-10-11 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Cold trap for CVD furnace
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP4294976B2 (ja) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 基板処理装置
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7807077B2 (en) * 2003-06-16 2010-10-05 Voxeljet Technology Gmbh Methods and systems for the manufacture of layered three-dimensional forms
DE10327272A1 (de) * 2003-06-17 2005-03-03 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
KR100626366B1 (ko) * 2003-07-18 2006-09-20 삼성전자주식회사 기상 증착 시스템
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7208230B2 (en) * 2003-08-29 2007-04-24 General Electric Company Optical reflector for reducing radiation heat transfer to hot engine parts
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP3962722B2 (ja) * 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
US20050147749A1 (en) * 2004-01-05 2005-07-07 Msp Corporation High-performance vaporizer for liquid-precursor and multi-liquid-precursor vaporization in semiconductor thin film deposition
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
DE102004008168B4 (de) * 2004-02-19 2015-12-10 Voxeljet Ag Verfahren und Vorrichtung zum Auftragen von Fluiden und Verwendung der Vorrichtung
US7220943B2 (en) * 2004-03-26 2007-05-22 Aviza Technology Limted RF stand offs
JP4366226B2 (ja) * 2004-03-30 2009-11-18 東北パイオニア株式会社 有機elパネルの製造方法、有機elパネルの成膜装置
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US8592548B2 (en) * 2004-12-22 2013-11-26 Sabic Innovative Plastics Ip B.V. Method to prepare bis(haloimides)
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4699092B2 (ja) * 2005-06-01 2011-06-08 日本パイオニクス株式会社 酸化亜鉛膜の成膜方法
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP4943669B2 (ja) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 真空装置のシール構造
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP4828918B2 (ja) * 2005-11-07 2011-11-30 ルネサスエレクトロニクス株式会社 気化器及び気相成長装置
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US7680399B2 (en) * 2006-02-07 2010-03-16 Brooks Instrument, Llc System and method for producing and delivering vapor
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8755679B2 (en) 2006-04-05 2014-06-17 Horiba Stec, Co., Ltd. Liquid material vaporizer
JP5090341B2 (ja) * 2006-04-05 2012-12-05 株式会社堀場エステック 液体材料気化装置
EP2022872A4 (en) * 2006-05-09 2010-07-28 Ulvac Inc THIN FILM PRODUCTION EQUIPMENT AND INTERIOR BLOCK CORRESPONDING
US20070277536A1 (en) * 2006-05-30 2007-12-06 Johnson Coltrols Technology Company Filter for vapor compression systems
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
WO2008014446A2 (en) * 2006-07-28 2008-01-31 Caracal, Inc. Sintered metal components for crystal growth reactors
DE102006038858A1 (de) 2006-08-20 2008-02-21 Voxeljet Technology Gmbh Selbstaushärtendes Material und Verfahren zum schichtweisen Aufbau von Modellen
US20080079220A1 (en) * 2006-08-29 2008-04-03 Aviza Technology, Inc. Rotary seal for diffusion furnance incorporating nonmetallic seals
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP6097471B2 (ja) * 2007-04-27 2017-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 環状のバッフル
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080305014A1 (en) * 2007-06-07 2008-12-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8025269B1 (en) 2007-10-16 2011-09-27 National Semiconductor Corporation Chamber lid lifting apparatus
DE102007050679A1 (de) 2007-10-21 2009-04-23 Voxeljet Technology Gmbh Verfahren und Vorrichtung zum Fördern von Partikelmaterial beim schichtweisen Aufbau von Modellen
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US7983542B2 (en) * 2007-10-29 2011-07-19 Smiths Medical Asd, Inc. PID coefficient adjustment for respiratory heater closed loop control
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20090159573A1 (en) * 2007-12-21 2009-06-25 Kyu Ok Hwang Four surfaces cooling block
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
WO2009134041A2 (en) * 2008-04-29 2009-11-05 Sunic System. Ltd. Evaporator and vacuum deposition apparatus having the same
DE102008024392B4 (de) * 2008-05-15 2011-11-17 Msa Auer Gmbh Elektrochemischer Sauerstoffsensor
WO2009142911A2 (en) * 2008-05-19 2009-11-26 Applied Materials, Inc. Robust outlet plumbing for high power flow remote plasma source
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
WO2010123877A2 (en) * 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
JP5351625B2 (ja) * 2009-06-11 2013-11-27 三菱重工業株式会社 プラズマ処理装置
US8801856B2 (en) * 2009-09-08 2014-08-12 Universal Display Corporation Method and system for high-throughput deposition of patterned organic thin films
KR101010196B1 (ko) * 2010-01-27 2011-01-21 에스엔유 프리시젼 주식회사 진공 증착 장비
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US20110283737A1 (en) * 2010-05-20 2011-11-24 Siemens Medical Solutions Usa, Inc. Process for separating gases at cryogenic temperatures
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
DE102010056346A1 (de) 2010-12-29 2012-07-05 Technische Universität München Verfahren zum schichtweisen Aufbau von Modellen
US8404028B2 (en) 2011-01-18 2013-03-26 International Business Machines Corporation Vacuum trap labyrinth
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US8511651B2 (en) 2011-03-29 2013-08-20 Smiths Medical Asd, Inc. Heater unit humidification chamber monitor
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9653267B2 (en) * 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
JP5513544B2 (ja) * 2012-04-23 2014-06-04 東京エレクトロン株式会社 基板処理装置
US9156041B1 (en) * 2012-05-07 2015-10-13 The United States Of America As Represented By The Secretary Of The Army Dimethylmethylphosphonate vapor generator
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
AT512950B1 (de) * 2012-06-04 2016-06-15 Leica Microsysteme Gmbh Vorrichtung zum Präparieren, insbesondere Beschichten, von Proben
US9273450B2 (en) 2012-06-22 2016-03-01 Kohler Mira Limited Plumbing fixture with heating elements
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014041906A (ja) * 2012-08-22 2014-03-06 Ulvac Japan Ltd ガス処理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
CN104099570B (zh) * 2013-04-01 2016-10-05 上海和辉光电有限公司 单点线性蒸发源系统
NL2010809C2 (nl) 2013-05-16 2014-11-24 Smit Ovens Bv Inrichting en werkwijze voor het aanbrengen van een materiaal op een substraat.
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN103499644B (zh) * 2013-09-03 2016-04-13 中国人民解放军第四军医大学 评估牙种植体稳定性的扭转振动共振频率测量法及变幅杆
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10954594B2 (en) * 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2017094469A1 (ja) * 2015-11-30 2017-06-08 株式会社アルバック 蒸気放出装置及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6479080B2 (ja) * 2017-03-30 2019-03-06 本田技研工業株式会社 ブリーザ装置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
SG11201908711VA (en) * 2017-05-02 2019-10-30 Picosun Oy Ald apparatus, method and valve
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
GB2568271B (en) 2017-11-09 2020-04-22 Kohler Mira Ltd A plumbing component for controlling the mixture of two supplies of water
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068546A2 (en) * 2018-09-24 2020-04-02 Lam Research Corporation Multiplexed high tcr based ampoule heaters
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP1638504S (ko) * 2018-12-06 2019-08-05
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020158798A (ja) * 2019-03-25 2020-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) * 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
WO2021212110A1 (en) 2020-04-17 2021-10-21 Eagle Engineered Solutions, Inc. Powder spreading apparatus and system
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12013291B2 (en) * 2020-10-14 2024-06-18 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3306768A (en) 1964-01-08 1967-02-28 Motorola Inc Method of forming thin oxide films
US3805425A (en) * 1973-01-26 1974-04-23 Rowenta Werke Gmbh Brush devices
US3926774A (en) 1974-11-13 1975-12-16 Petrolite Corp Electric treater system
DE3009134A1 (de) 1980-03-10 1981-10-15 Grünzweig + Hartmann Montage GmbH, 6700 Ludwigshafen Waermedaemmung fuer den gewoelbten boden- und/oder deckelbereich eines druckbehaelters
US4324845A (en) 1980-06-30 1982-04-13 Communications Satellite Corp. Metal-oxide-hydrogen cell with variable conductant heat pipe
US4616122A (en) 1980-08-06 1986-10-07 Clairol Incorporated Electrically heated facial sauna vapor generating apparatus
CH640571A5 (fr) 1981-03-06 1984-01-13 Battelle Memorial Institute Procede et dispositif pour deposer sur un substrat une couche de matiere minerale.
US4699084A (en) 1982-12-23 1987-10-13 The United States Of America As Represented By The Secretary Of The Army Apparatus for producing high quality epitaxially grown semiconductors
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US5391232A (en) 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4836558A (en) 1987-10-20 1989-06-06 Raychem Corporation Bulkhead seal
JPH0387372A (ja) * 1988-07-22 1991-04-12 Canon Inc 堆積膜形成方法
US5055169A (en) 1989-03-17 1991-10-08 The United States Of America As Represented By The Secretary Of The Army Method of making mixed metal oxide coated substrates
JP2767284B2 (ja) * 1989-06-06 1998-06-18 日立電子エンジニアリング株式会社 液状半導体形成材料気化供給装置
JPH0784662B2 (ja) 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5112442A (en) 1990-09-27 1992-05-12 United Technologies Corporation Liquid vaporizing process for manufacturing iron oxide
JPH04272616A (ja) * 1991-02-27 1992-09-29 Aisin Seiki Co Ltd 超電導線材及びその製造方法
JPH04346035A (ja) * 1991-05-24 1992-12-01 Tokyo Electron Ltd 処理液供給装置
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon K.K., Tokio/Tokyo Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
JPH076958A (ja) * 1992-03-31 1995-01-10 Clarion Co Ltd Si基板上へのGaAs膜の形成方法
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
US5376409B1 (en) 1992-12-21 1997-06-03 Univ New York State Res Found Process and apparatus for the use of solid precursor sources in liquid form for vapor deposition of materials
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5853678A (en) * 1993-03-17 1998-12-29 Nipon Sanso Corporation Method for removing hydrides, alkoxides and alkylates out of a gas using cupric hydroxide
JP3118493B2 (ja) * 1993-04-27 2000-12-18 菱電セミコンダクタシステムエンジニアリング株式会社 液体原料用cvd装置
JPH06333867A (ja) * 1993-05-18 1994-12-02 Kokusai Electric Co Ltd ガス導入管付反応器
US5400603A (en) 1993-06-14 1995-03-28 International Business Machines Corporation Heat exchanger
US5441107A (en) 1993-06-21 1995-08-15 Biomagnetic Technologies, Inc. Solid conductor thermal feedthrough
EP0636704B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
JPH0794426A (ja) * 1993-09-24 1995-04-07 Ryoden Semiconductor Syst Eng Kk Cvd装置
US5660201A (en) 1993-12-21 1997-08-26 Lockheed Martin Idaho Technologies Company Multiple source/multiple target fluid transfer apparatus
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
US5630878A (en) 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
JP3390517B2 (ja) * 1994-03-28 2003-03-24 三菱電機株式会社 液体原料用cvd装置
JPH07310185A (ja) * 1994-05-12 1995-11-28 Hitachi Ltd Cvdガス供給装置
US5527567A (en) 1994-09-02 1996-06-18 Ceram Incorporated Metalorganic chemical vapor deposition of layered structure oxides
US5614247A (en) 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5553188A (en) * 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
EP0738788B1 (en) 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3534940B2 (ja) * 1995-04-20 2004-06-07 株式会社荏原製作所 薄膜気相成長装置
JPH08325736A (ja) * 1995-05-29 1996-12-10 Ebara Corp 薄膜気相成長装置
US5840254A (en) 1995-06-02 1998-11-24 Cdc Technologies, Inc. Apparatus for mixing fluids for analysis
US5534068A (en) 1995-10-27 1996-07-09 Specialty Coating Systems, Inc. Parylene deposition apparatus including a tapered deposition chamber and dual vacuum outlet pumping arrangement
JPH09157832A (ja) * 1995-11-30 1997-06-17 Sony Corp 防着板およびそれを用いた真空装置
JPH1089532A (ja) 1995-12-13 1998-04-10 Rintetsuku:Kk 気化装置の弁構造
JPH09235675A (ja) * 1995-12-28 1997-09-09 Ebara Corp 液体原料気化装置
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5725675A (en) 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5900060A (en) 1996-07-03 1999-05-04 Cermet, Inc. Pressurized skull crucible apparatus for crystal growth and related system and methods
US5835678A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5882416A (en) * 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320706B1 (ko) * 2004-05-25 2013-10-21 에드워즈 리미티드 퍼지 가스 공급 방법, 퍼지 가스 공급 장치 및 키트
KR100685798B1 (ko) * 2005-11-30 2007-02-22 주식회사 아이피에스 박막증착용 기화유니트
WO2010131878A2 (ko) * 2009-05-11 2010-11-18 에스엔유 프리시젼 주식회사 기판 처리 시스템
WO2010131878A3 (ko) * 2009-05-11 2011-02-24 에스엔유 프리시젼 주식회사 기판 처리 시스템
CN102422455A (zh) * 2009-05-11 2012-04-18 韩商Snu精密股份有限公司 基板处理系统
KR101851509B1 (ko) * 2017-11-20 2018-06-11 이상선 라이닝이 형성된 밸브 본체를 가지는 밸브의 제조방법

Also Published As

Publication number Publication date
US6066209A (en) 2000-05-23
JP4901000B2 (ja) 2012-03-21
EP1018199A2 (en) 2000-07-12
US6258170B1 (en) 2001-07-10
JP5473524B2 (ja) 2014-04-16
TW576872B (en) 2004-02-21
JP2001516960A (ja) 2001-10-02
US6082714A (en) 2000-07-04
US6077562A (en) 2000-06-20
JP5760017B2 (ja) 2015-08-05
US6099651A (en) 2000-08-08
JP2013118402A (ja) 2013-06-13
US6063199A (en) 2000-05-16
JP2010062575A (ja) 2010-03-18
US6165271A (en) 2000-12-26
US6056823A (en) 2000-05-02
WO1999013545A2 (en) 1999-03-18
WO1999013545A3 (en) 1999-06-24
US6123773A (en) 2000-09-26
US6096134A (en) 2000-08-01

Similar Documents

Publication Publication Date Title
KR20010023887A (ko) 기화 및 증착 장치 및 방법
US6527865B1 (en) Temperature controlled gas feedthrough
US6635114B2 (en) High temperature filter for CVD apparatus
US6210485B1 (en) Chemical vapor deposition vaporizer
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
US7510624B2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20020015855A1 (en) System and method for depositing high dielectric constant materials and compatible conductive materials
KR100573666B1 (ko) 박막증착장치
US6470144B1 (en) Vaporizer for chemical vapor deposition apparatus, chemical vapor deposition apparatus, and semiconductor device manufactured thereby
KR20050046797A (ko) 고유전상수 박막의 증착장치
KR20090131384A (ko) 가스분사조립체 및 이를 이용한 박막증착장치
KR20010052797A (ko) 가스 분배 시스템

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid