JP2013118402A - 気化・堆積装置および方法 - Google Patents

気化・堆積装置および方法 Download PDF

Info

Publication number
JP2013118402A
JP2013118402A JP2013033688A JP2013033688A JP2013118402A JP 2013118402 A JP2013118402 A JP 2013118402A JP 2013033688 A JP2013033688 A JP 2013033688A JP 2013033688 A JP2013033688 A JP 2013033688A JP 2013118402 A JP2013118402 A JP 2013118402A
Authority
JP
Japan
Prior art keywords
gas
fluid
disposed
lid
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013033688A
Other languages
English (en)
Other versions
JP5760017B2 (ja
Inventor
Sasson Somekh
サッソン ソメクー,
Jun Zhao
ジュン ツァオ,
Charles Dornfest
チャールズ ドーンフェスト,
Talex Sajoto
タレックス サホト,
Leonid Selyutin
レオニッド セリュティン,
Vincent Ku
ヴィンセント ク,
Chris Wang
クリス ワン,
Frank Chang
フランク チャン,
Po Tang
ポ タン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013118402A publication Critical patent/JP2013118402A/ja
Application granted granted Critical
Publication of JP5760017B2 publication Critical patent/JP5760017B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S261/00Gas and liquid contact apparatus
    • Y10S261/65Vaporizers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】流体前駆物質をバーポライサに送出し、前駆物質を効率的に気化し、気化前駆物質を基板の表面に送出し、チャンバ内の上昇温度を維持しながら装置を排気し、パスウエイ(通路)に沿って前駆物質の不要な凝固または分解を阻止し、装置中の温度傾斜を回避する。
【解決手段】本発明は流体前駆物質の気化およびフィルムを適当な基板上に堆積する装置および方法に関する。特に、酸化バリウム、酸化ストロンチウム、酸化チタン(BST)フィルムのような金属酸化物フィルムをシリコン・ウエハ上に堆積して、高容量ダイナミック・メモリ・モジュールに有用な集積回路コンデンサを製作する装置および方法を企図している。
【選択図】図1

Description

発明の分野
本発明は流体前駆物質の気化、およびフィルムを適当な基板上へ堆積する装置および方法に関する。特に、バリウム・チタン酸ストロンチウム(barium strontium titanate)(BST)フィルムのような金属酸化フィルムをシリコン・ウエハ上に堆積して、高容量ダイナミック・メモリ・モジュールに有効な集積回路コンデンサを形成する装置および方法を企図する。
発明の背景
集積回路(IC)の増大する密度が、256Mbitおよび1GbitDRAMを形成するためのコンデンサのような電気デバイスに使用される高比誘電率を有する材料の必要性を余儀なくさせている。有機金属化合物のような高比誘電率を有するコンデンサが、IC製造における材料選択となる標準のSiO2-Si3N4-SiO2 積層コンデンサよりもずっと大きいキャパシタンス(容量)密度を通常保有している。
超大規模集積(ULSI)DRAMに使用される材料として関心が高まっている一つの有機金属化合物として、その高い容量のためにBSTがある。従来、BSTを堆積するのに使用されている堆積技術には、RFマグネトロン・スパッタリング、レーザ・アブレーション、ゾル−ゲル処理法および有機金属材料の化学気相成長(CVD)が含まれている。
流体源BST CVDプロセスには、化合物の噴霧化、気化化合物を加熱された基板上に堆積し、堆積フィルムをアニールすることが必然的に伴う。このプロセスは、アンプルから気化によって流体送出装置へ導入される液状前駆物質とガスに対して、最終的には気化される基板の面に対する制御を必要とする。この目的は、制御された温度と圧力環境の効果の下で均一厚さのフィルムを堆積する反復プロセスで達成されることである。この目的は、前駆物質が扱いにくく、堆積装置が複雑な設計を必要とするので、満足には達成できていない。
例えば、遭遇する一つの難点は、一般的に流体前駆物質の送出に容積移送式ポンプを必要とすることである。ポンプが詰まることがあり、また、前駆物質がポンプ装置の表面に堆積した場合に、交換する必要がある。さらに、容積移送式ポンプの使用は、送出ラインまたはバーポライザが堆積物で詰まったときに問題がある。なぜなら、ポンプが圧力シールを破裂させることがあり、あるいはポンプの圧力逃しバルブがトリップするまで作動し続けるからである。いずれの場合においても、保守と修理を必要とし、また時間外修理とポンプの交換が非常に高くつき、装置の所有者の費用を増大させる。
遭遇する他の難点は、BST前駆物質が高温度における分解と低温度における分解との間の気化の狭い範囲を有し、これによってバーポライザからチャンバへ、また排気装置を介する温度制御流路を必要とすることである。さらに、流体前駆物質が、装置全体を通して送出ラインおよびバルブ内に沈殿物を形成する傾向にある。
遭遇する他の難点は、流体前駆物質の気化が困難、または効果がないことである。一般的に、バーポライザ内の低コンダクタンスのために、流体前駆物質の一部しか気化されない。これによって、堆積速度が抑制され、またプロセスが一貫して繰り返し可能ではなくなる。さらに、CVDプロセス中に使用される公知のバーポライザは、狭い(細い)経路と併用され、結局は使用中に詰まりを生じ、安定化した連続する流れプロセスに対して適用できない。これはまた流体前駆物質の気化効率を低下させ、プロセスの繰り返し性と堆積速度にマイナスに作用する。さらに、公知のバーポライザは、バーポライザに流体前駆物質を注入する前における温度制御面と、流体前駆物質を低温度で維持する能力とに欠ける。これがバーポライザ内の注入ライン中の物質の堆積と前駆物質の時期尚早な凝固または分解という結果を引き起こす。
BSTの堆積において遭遇するさらに他の難点は、堆積プロセスが上昇した基板温度、好ましくは約400−750℃の範囲で実行され、アニーリングプロセスが、約550℃−850℃の基板温度で実行されることである。これらの高温条件により堆積プロセスにおいて使用されるチャンバに対して要求を強いる。例えば、弾性的O−リングは一般的に堆積チャンバをシールするのに使用され、また通常は多くの製造サイクルで約100℃を超える温度に耐える材料で製作されない。シールの破損は、適切なチャンバ圧力の損失となるとともに、プロセス化学装置と装置要素を汚染し、これによってウエハ上のフィルム形成に欠陥を生じる結果となる。さらに、熱伝導の結果による装置要素の温度変動を阻止する必要がある。熱伝導による熱の損失が基板の表面にわたる温度傾斜を生じ、その結果、フィルム厚さの均一性が低下し、またチャンバ内の高温度環境を維持するために装置に必要とする電力需要が増大する。
従って、流体前駆物質をバーポライサに送出し、前駆物質を効率的に気化し、気化前駆物質を基板の表面に送出し、チャンバ内の上昇温度を維持しながら装置を排気し、パスウエイ(通路)に沿って前駆物質の不要な凝固または分解を阻止し、装置中の温度傾斜を回避する必要がある。装置が急速クリーニングおよび連続流れ操作のために適用される場合に好ましいであろう。
本発明の一つの局面において、堆積チャンバは、流体をバーポライザに移送して気相に変換し、また、上昇温度でチャンバ要素上での不要な凝固を阻止して移送しなければならない気化を必要とするBSTおよび他の材料、特に低蒸発性前駆物質を堆積するために用意される。チャンバの内面は周囲温度より高い、例えば、200−300℃の適当な温度に維持し、チャンバおよび関連するガス流れ面上への気化材料の分解および/または凝固を阻止するのが好ましい。チャンバは一連の加熱温度制御内部ラインを備えており、これらのラインは迅速に取り外し可能、洗浄および/または交換可能に形成され、また、堆積材料の熱膨張係数に近似する熱膨張係数を有する材料で作られているのが好ましい。このチャンバは、またULSIDRAMに有用なコンデンサのような電気デバイスの製造中に発生する高温の有害な作用から、例えば弾性O−リングのようなチャンバ・シールを保護する徳性を含んでいるのが好ましい。この概念は通常「クール・リアクター」内の「ホット・リアクター」として知られている。
本発明は、また流体前駆物質要素を一貫して混合し、効果的に気化して詰まりを阻止するための高い伝導性をもたせるとともに、バーポライザおよびガス送出ライン中のガスの分解と凝固を無視できる程度で気化材料を堆積チャンバに送出するための大きい気化物質経路を有する気化装置を提供する。本装置は、広くなった表面積と広い経路を備えた曲がりくねったパスウエイを提供して既存のバーポライザに一般的に見られる汚れや詰まりの恐れを少なくして気化効率を高めることが好ましい。
本発明は、また高圧ポンプを必要としない、かつ重量を利用した供給とラインの洗浄を提供して、流体源要素をバーポライザに送出する装置を提供する。加圧アンプルが流体前駆物質をバーポライザに送出する。このアンプルは、アルゴンのような不活性ガスを使用して約500psiまでに充填可能であるのが好ましい。加圧アンプルを使用することで、流体前駆物質をバーポライザに送出する高圧ポンプの必要がなくなる。
本発明は、さらに破裂される硬質鉛管を必要とせずにチャンバにアクセスできる流体、ガス鉛管工事装置を提供する。気化材料はチャンバボディを介してバーポライザから、混合ガスマニホルドとガス分配プレートの設けられたリッドにあるガス分配アセンブリに送出される。このリッドにあるチャンバボディと混合ガス・マニホルドは、結合時にその中に配備されたガス経路とシール状態に連結される。
さらに、本発明は、基板をチャンバに移送し、またこれらを移送する際に、停止される連続した流れモードと断続したモードで操作できるフラッシュ(洗浄)可能装置を提供する。一つ以上の死空間(ホールドアップ)バルブおよび重量による供給装置が、装置を流体前駆物質が気化されチャンバに送出される堆積モードと、溶剤がラインとバルブに送出され、装置がフラッシュ(洗浄)されて材料の流体/気化物質送出ライン内での固まりを阻止する堆積モードとの間を循環させる。溶剤は流体送出ライン、バーポライザを介して送られ、さらにバイパス・ラインを介して廃棄装置に送られる。さらに、この装置は前駆物質を連続的に気化しうるが、気化材料をバイパス・ラインを介して排気装置に送出する。これにより、多数の基板の気化プロセスが最適化され、これを維持するプロセスが安定になる。
なお、さらに本発明は、チャンバ圧力を高真空で維持でき、またポンプをその中での堆積物の堆積から保護する形態に作られたプランミング(鉛管)装置を有するチャンバのためのポンプ・装置を提供する。本発明の一つの局面において、コールド・トラップが排気ポンプから上流に配備され、装置から気化ガスを除去する。本発明の他の局面において、高真空ポンプがゲートバルブのような適切なバルブによって排気経路から選択的に分離され、プロセス・ガスのない状態での高真空ポンプとの選択的な連絡が可能になる。
本発明の化学気相成長装置は、一貫した高品質のコンデンサ・フィルムの製造におけるその使用によって特徴付けられ、保守時間が相当短縮され、粒体の発生がほとんどない状態で高速によるCVDフィルムの堆積する能力を有している。その結果、効率が高く、経済的な製造プロセスが提供される。
本発明のチャンバ・装置の斜視図である。 本発明のチャンバ・装置の断面図である。 本発明のチャンバ壁を通る加熱ガス送出ラインの断面図である。 本発明のチャンバ壁を通るガス送出ラインの断面図である。 本発明のチャンバと、関連するパージ・ガス・ポンピング・ノーズアセンブリの他の実施形態を示す断面図である。 チャンバ・ライナの実質上底部斜視図である。 抵抗性加熱要素のためのコネクタを示すチャンバ・ライナの断面図である。 本発明のリッドの上面図である。 ガス・マニホルドの部分断面図である。 ガス・マニホルドの上面図である。 ガス・マニホルドの断面図である。 加熱ノーズ・ライナの側面図である。 ノーズ・ライナのためのマウントフランジの端面図である。 コールド・トラップフィルター部材の斜視図である。 チャンバとバーポライザ・モジュールの斜視図である。 本発明のバーポライザの断面図である。 本発明のバーポライザのフィン構造の上面斜視図である。 バーポライザの他の実施形態の断面図である。 流体送出装置の概略図である。 ゼロ死空間バルブの斜視図である。 ゼロ死空間バルブの断面図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。 好ましいCVDBST200mmプロセスの特性のグラフによる説明図である。
本発明は、薄い酸化金属フィルムをはじめ、前駆物質流体の気化を必要とする他のフィルムを堆積するのに有用な流体送出化学気相成長(CVD)装置に向けられている。この装置は、ULSIDRAMだけでなく多数の他の電気デバイスに使用されるコンデンサの製作に有用な金属酸化誘電体の製造に有用な特定用途を有する。通常、現存装置によって製造できるデバイスは、基板上の絶縁材料、誘電材料または電極材料の一つ以上の層を有することを特徴とする。
図1は本発明のCVD装置10の斜視図である。装置10は概してチャンバボディ12、加熱リッドアセンブリ14、一体化されたバーポライザ・モジュール16および排気/ポンプ・装置18を含んでいる。この図では省略しているが、本発明の特徴は、流体前駆物質をバーポライザ・モジュールに供給する流体送出装置である。装置の大きさおよび寸法は、本発明のプロセスがそこで実行されるワークピースの大きさと寸法によって決定される。本発明の好ましい実施形態を、200mmシリコン・ウエハのような円形基板を処理するのに適用されるチャンバを参照してここに説明する。
本発明者らは、処理化学装置とみなされる装置の要素の実質上すべて(基板および基板ヒーター以外)が、理想的な恒温装置温度(例えば、BSTにおける250℃±5℃)に実質上維持されれば、堆積層の均一性が高められ、装置の保守を減じることができることを認識している。堆積チャンバには、さらに基板および基板支持部材の比較的高温の結果によって発生される温度傾斜を最小にするための特徴を含んだ数個の能動的、かつ、受動的な熱制御装置を組み込む。この堆積チャンバは、さらにこれを理想的な恒温装置温度以下に冷却することによって主チャンバを保護するように作用する熱制御特性をも含んでいる。他の同様の熱制御特性が、チャンバのリッドを収容するカバーを比較的安全な温度に維持して焼損を回避する。冷却は、装置化学装置に露呈された装置要素内における相当な温度変化を誘起させずに、また、過度な冷却および加熱電力損失なしに達成される。
堆積チャンバ
図2は加熱リッドアセンブリ14を支持するチャンバボディ12を示す堆積チャンバの一実施形態の断面図である。チャンバボディ12は内壁22によって周囲上に規定された内方環状処理領域20を規定している。基板支持部材24が、チャンバの下方から延長し、処理領域20の下方端を規定している。リッド上にマウントされたガス分配プレート26が処理領域20の上限を形成している。チャンバボディ12とリッドアセンブリ14は、アルミニウム、ステンレス・スチールまたはその組み合わせのような強固な材料から作られるのが好ましい。チャンバボディ12はまた、一度気化物質が基板上方に分配されると、この堆積気化物質の残部を排出するポンピング・ポートを規定する。ガス分配アセンブリを取り巻く、ほぼU字状経路がポンピング・チャネル#を形成し、ここからガスが排気装置内に引き入れられる。
基板支持部材24は、例えばアルミニウムのような金属で作ることができ、これに耐熱要素が添着されるか、またはこれに埋設されている。別の方法として、支持部材は、近接電極によって放出されたRFエネルギを受けたときに、熱を発生するセラミック・ブロックと埋設グランド・プレートとで形成することもできる。適切な基板支持部材および関連するリフトアセンブリは、1997年7月14日付け同時係属出願の米国特許願、「自動調心リフト機構」に開示されており、これは本明細書に参照により組む込まれる。この基板支持部材は、アメリカ合衆国、カリフォルニア州、サンタ・クララのアプライド・マテリアルズ・インコーポレイテッドからモデル名、CxZ Heaterで入手可能である。
基板支持部材は、通常中央エレベータ・シャフト30を上下移動可能で、ガス分配プレート26近傍の堆積位置と、チャンバボディを通って形成されたスリットバルブより下方の基板挿入/除去位置との間で基板を移動させることができる。シャフトのチャンバへの挿入点が変形可能ベローズ(図示省略)でシールされている。基板は、基板支持部材24を通って延長する組をなす4本の通路(パッセージウエイ)34内に摺動して保持された一連のリフティング・ピン32によってロボット・ブレード上からリフトされ、またはこれに載置される。各ピンの直下に上昇板36があり、チャンバ内でピンを垂直方向に移動させて、基板をロボット・ブレードから上昇、またはこの上に置いて、開いたスリットバルブ(図示省略)を介してチャンバ内に移動させる。
チャンバボディ12は、インレット42とアウトレット44を有する加熱ガス送出フィードスルー40を受け入れ、リッドアセンブリ14上にマウントされたガス分配プレート26に前駆物質ガスを送るための一つ以上の経路38を規定する。経路38は、異なる直径の上方および下方端を規定し、これら上方および下方端が一致する個所で肩部58を形成している。ガス・アウトレット44は、少なくとも第1ガス経路48を含む混合ガス・マニホルド46と流体的に連結され一つのガス(または複数のガス)をガス分配プレート26に送出する。ステンレス・スチールc−スプリングを備えた好ましくはテフロン(登録商標)で作られたO−リング・シール50が上方チャンバ壁上にアウトレット44の回りに配置され、ガス送出フィードスルー40とガス・マニホルド46間にシール結合を提供している。図7は混合ガス・マニホルドを想像線で示すリッドアセンブリの平面図である。経路38と同様の一つ以上の酸化剤ガス経路52が、経路38近傍のチャンバボディ12内に形成され、一つ以上の酸化剤ガスをチャンバ壁を介して混合ガス・マニホルド46に送出したい場合に、加熱が可能な酸化剤ガス分配フィードスルーを受け入れる。ガス経路54が混合ガス・マニホルド46内に形成され酸化剤ガスを、エントリー・ポート近傍のガス・マニホルド内に配置された混合ポイント56からガス分配プレート26に送出する。制限経路37が酸化剤ガス経路54の端部を気化ガス経路48の端部に連結し、高速送出とガス分配プレート26から上流のガス混合物の混合を提供する。
図3aはチャンバ壁を通って形成された環状経路38内に配備された加熱気体送出フィードスルー40を示す断面図である。経路には、この経路の上端に配備され、O−リング60を含む肩部58が備えられている。フィードスルーは外方コンジット41とこの外方コンジット内に配備された内方コンジット45を含んでいるのが好ましい。外方コンジットは、経路の肩部58上にマウントされたマウント肩部43を含んでいる。外方コンジットは、またロック・ナットを螺合するねじを有する下方端を含み、フィードスルーを、肩部58とO−リング・シール60に抗して経路38内でシール位置に固定している。内方コンジット45はO−リング・シール50においてリッドアセンブリによりシールを形成するために上方マウント面49を規定するとともに、チャンバボディの下部と一致させるためにその下方端上にフランジ62を含んでいる。ケーブル式ヒーター64、または他の適当なヒーターが、フィードスルーの内方コンジットと密着状態に配備され、フィードスルーが所望の温度に加熱される。放射シールド65がヒーター上方に配備され、熱放射による外方コンジット41の加熱を回避している。電力リード線67がフィードスルーの下方端から延長し、適当な電源に接続されフィードスルーを加熱する。熱電対66が、フィードスルー40に挿入されるか、そうでなければこれに配備され、その温度をモニタしている。このフィードスルーは経路内にマウントされ、ねじ式コネクタかあるいは他の適当なコネクタを使用してこの中に搭置されている。
外方コンジット41の上壁47が、その外方面とチャンバボディの内壁との間にギャップを規定するような厚みと寸法に形成され、O−リング・シール60近傍で加熱チョークを提供している。O−リング50は約250℃の温度に耐え得るホットO−リングであるのが好ましい。薄い壁が肩部58への熱伝導を最少にしO−リング・シール60を保護している。熱伝導を最少にすることによって、フィードスルーを加熱するのに必要とする電力が少なくなる。さらに、小さい熱質量がより優れた熱制御とフィードバック制御に対する迅速な応答を提供する。さらに、外方コンジット上の熱チョークが、インサートに直接連結され、リッドボディによって加熱される混合ガス・マニホルド46による熱損失を阻止している。これが気化ガスのパスに沿ったコールド・スポットの発生を回避している。
図3bは加熱されていないガス・フィードスルーの実施形態を示す。一つ(または複数)の酸化剤ガスがこの非加熱フィードスルーを流通する。しかし、加熱酸化剤ガスフィードスルーが必要とされる適用例においては、図3aに示したものと同様のフィードスルーを使用することができる。図3bのフィードスルーは図3aのものと似ているが、ケーブル・ヒーターと熱電対が外されているところが異なる。さらに、フィードスルーのサイズはプロセスの必要条件に依存して変わる。一実施形態において、非加熱酸化剤ガス・フィードスルーはより小さいガス経路を有しているために、全体の寸法がいくぶん小さくなっている。
図4は本装置の他の実施形態の断面図である。バーポライザ・アウトレット・ポートに直接連絡している堆積気化物質インレット通路68が、リッドボディ14を通って軸方向に延長している。インレット通路を取り巻いている環状凹所が主リッドボディの上側上に形成されている。
再度図2を参照して、取り外し可能堆積チャンバ・ライナー(多数の異なる場所で使用可能である)が、堆積チャンバの周期的なクリーニングを容易にしている。本発明の好ましい実施形態によるライナーは、ユニットと一体的ないし機能的に一体形成され(すなわち、ユニットに固定されるか、またはこれと重なった一つ以上の要素から組み立てられる)、基板支持部材24近傍で上方チャンバ面をカバーするほぼ円筒状ライナー28と、基板支持部材の下方で下部チャンバ壁面をカバーする底部ライナー29とを含んでいる。ライナー材料は、例えばステンレス・スチールまたはアルミニウムのような金属、セラミック材料(例えばAl2O3)、または石英で作ることができ、また、活性PID制御された加熱要素を備え、これによってライナー壁を実質上適切な恒温装置温度に維持し、ガス蒸気のチャンバ面への凝縮と堆積を回避することができる。ライナーを形成している材料は、ハロゲンおよびハロゲン化された元の場所の洗浄化合物に対して化学的抵抗を示し、また、処理化学剤(装置)によって悪影響を受けたり、悪影響を与えたりしないことが好ましい。
図2を再度参照して、チャンバ・ライナー28がチャンバの内壁22近傍に配備され、容易に洗浄および/または交換できるようにチャンバ内で取り外し可能面を提供するのが好ましい。このライナー28は支持部23上でチャンバ内に支持され、好ましくは3個がライナーの下部面の回りに等間隔で設けられている。支持部23はチャンバ・ライナー28とチャンバボディ間の接触面積が最小となるような、また、これによってライナーとチャンバボディ間の熱伝導を最小にする大きさに作られる。一実施形態において、ライナーは加熱リッドと加熱基板支持部材からの放射によって加熱される。本実施形態は受動的フローティング・ライナーと呼ばれている。別の方法として、ライナーは抵抗型ヒーター25(図5に示す)または、その中に配備された他の適当なヒーターも含めることができ、これによって理想的な恒温で積極的に加熱し、これを維持することができる。この積極的加熱の実施形態は、活性フローティング・ライナーと言われる。図5は加熱ライナー28の実質上下方向から見た斜視図で、その中に配備された抵抗性コイル25と、コイルへの電気接続部を収容しているライナーの下部面上にマウントされた電気コネクタ27を有している。
図6は、電気コネクタ27が配備されているチャンバの下部上にマウントされた外部ハウジングを示す活性フローティング・ライナー28の断面図である。ライナーの熱膨張に起因して、この膨張の調節は、チャンバ上にマウントされた外部ハウジングによって好ましくは提供されるか或いは阻止される。この外部ハウジングは第1コンジット29を含み、チャンバの下部にマウントし、それぞれベローズ35をマウントするために設けられたフランジ31、33を含んでいる。ベローズはその一端でフランジ33の下方端上にマウントされ、その他方端にはフランジ39の設けられた第2コンジット37がマウントされる。このベローズは電気コネクタ27またはライナー28のいかなる熱膨張も受け入れるようにたたみ曲げられる大きさに作られ、適用されている。コイルへの電気接続部が第2コンジット37の端を通って延長し電源への接続を容易にしている。
基板支持部材下方の部分が一般的に気化物質流から分離されているので、これらのパーツの温度制御はあまり重大ではない。しかし、基板支持部材下方のライナーの部分は、抵抗式加熱要素、または他の適当な加熱部材を用いて積極的に加熱することもできる。好ましくは、基板支持部材の上方および下方のライナーの温度は適切な恒温装置温度範囲内、例えば約200℃と750℃との間、または所望の堆積材料に適した他の温度範囲に維持できなければならない。
シーリング・エッジ・リング160(図2に示す)が、チャンバ内に配備され、基板支持部材24に支持され、基板支持部材24の周辺エッジと接触、重なっている。リングを一致した位置に維持するために、周辺リブをリングの下側に設けることができる。エッジ・リングは、ライナー28と基板支持部材24との間に環状スペース162を閉封する働きをし、これによって堆積チャンバの下方部へ流れる堆積気化物質量を実質的に減じる。さらに、エッジ・リングは放射シールドとしても作用する。ガス分配プレート26の外方周辺部は、一般的に基板の直径を越えて延長している。エッジ・リング160が、基板支持部材によって直接放射された熱からガス分配プレート26のこの部分を保護する。エッジ・リング160は、堆積材料の熱膨張係数と同じ熱膨張係数を有する材料から作り、熱サイクル中の薄片化による粒体発生の恐れを減じるのが好ましい。BSTの場合、一つのこの種のエッジ・リング材料はチタンである。本発明のエッジ・リングは、同時係属出願である同出願人の**年*月*日出願の米国特許願第#####号に開示されたエッジ・リング形態に従って構成することができるので、これは本明細書に参照により組み込まれる。
リッドアセンブリ14は、例えば、アルミニウムのような高い熱伝導性を有する金属を機械仕上げするか、またはこの金属で形成するのが好ましい。主リッドボディはその周辺の回りに形成された環状チャネルを規定し、薄い外方環状壁76を規定している。好ましくはステンレス・スチールまたは他の熱絶縁材で作られた支持リング78が、チャネル内に配備され、リッドのための構造上の支持部を提供し、外方環状壁76への熱伝導を阻止している。ボディ部材の薄い外壁がリッドのベース71のための熱チョークを提供し、このリッドはO−リング・シール72における処理中チャンバボディに対してシールされる。O−リング・シール72は、チャンバボディ12とリッドアセンブリの周辺境界に位置付けされ、チャンバのハーメチック・シールと真空耐密シールを維持する。O−リング・シールを積極的に冷却するために、一つ以上の冷却チャネル73が外壁76の下方リップ部に配備されるのが好ましい。熱交換流体(例えば、水、エチレン・グリコール、シリコーン・オイル等々)が、チャネルを通って循環されO−リング・シールにおける熱を除去している。
薄い外壁76によって提供された熱チョークが、チャンバリッド14とチャンバボディ12間のO−リング・シール72を、リッド内に配備された加熱要素80によって発生された熱から遮断している。この熱チョークは、他の装置要素に対する重大な有害冷却作用を誘起することなく、O−リング72の頂部上のチャネル内での局部化された能動的な冷却を許容することによってO−リング・シール72の熱的保護を提供する。薄い壁76が、その小さい断面積(A)と長い長さ(l)のために、加熱要素(発熱体)とO−リング間の有効な熱バリヤを呈する。
主リッドボディ70の上面が、螺旋溝のような複数の環状凹所を規定し、ここに加熱要素80を受承している。好ましい実施形態において、約6200Wの電力を有するヒーターが使用される。しかし、電力量は、リッドの材料要素を含むリッドのデザインと(結合)構造、および処理温度とによって変わることがある。電力はリッドに配備されたフィードスルー85を介して加熱要素に送出される。ヒーターが、リッドに位置付けられるか、そうでなければこれに配備された熱電対82から受信された信号に基づいて、従来のPIDフィードバック制御により制御されるのが好ましい。熱シールドとして作用する環状プレート84が加熱要素の頂部上にマウントされている。好ましくは、このプレート84はリッドボディにろう付けされリッドボディの一体部を形成する。水冷プレート86がプレート84上またはその上方に配備され、積極的フィードバック温度制御のためにリッドから熱を取り去る制御機構を提供している。
冷却チャネル100がリッドアセンブリ14の頂部カバー・プレート86内に形成されているのが好ましい。冷却チャネルはリッドから熱を取り除く。さらに、熱チョーク・ギャップ、好ましくは約25ミル(約0.64mm)が、冷却中にリッドから除去される熱量を制御するのに使用される。BSTのような材料の堆積中、基板は基板支持部材によって500℃を超える温度に加熱される。基板および基板支持部材からの熱が、ガス分配プレート26上に放射され、これによってその温度が適切な恒温装置温度以上の温度に高まる傾向にある。リッドとガス分配プレート26間の熱伝導ないし伝達を増大させることにより、基板および基板支持部材に誘起された温度傾斜および変動を減じることができる。リッドとガス分配プレート26間の熱伝導を改善するために、不活性ガス(例えば、ヘリウム、水素等)がこれらの要素の環状境界の回りに循環される。この不活性ガスはチャネル102に導入され、これは、円形、螺旋形、または他の形状にでき、リッドに配備される。チャネルは、ガス分配プレート26と主リッドボディ70および/またはカバー・プレート86との一つ(または複数の)一致環状面内に形成することができる。不活性ガスは冷却プレートを通し、またはガス・マニホルドに連結されたフィードスルーを介してチャンバの下部を通して頂部から導入することができる。チャネル内のガス圧は、約1−100トルの範囲内、好ましくは約1−20トルの範囲内に維持される。その高い熱伝導性のために、循環する不活性ガスはリッドアセンブリ14とガス分配プレート26間の熱移動を改善することができる。
加熱要素を含むリッドアセンブリは、気化物質インレット通路およびガス分配プレートを理想的な恒温装置温度、例えば250℃±5℃に維持する構成である。消極的および積極的冷却要素が、リッドのトップ・カバーおよびチャンバボディとリッドアセンブリ間に配置されたO−リング・シール72を、実質上低い温度、例えば100℃またはこれ以下に維持するのに使用される。
図2を再度参照して、混合ガス・マニホルド46が中央開口部88を含み、ここからガスがブロッカ・プレート90に導入され、最初にフェイス・プレート(面板)92上方の大きいエリアにわたって一つ(または複数)のガスが分散ないし分配される。各ブロッカ・プレートとフェイス・プレートには、これを通り両プレート90、92のエリアにわたってガスが平均的に分散するように複数のホールが形成され、両者が一緒になってガス分配プレート26を形成している。フェイス・プレート92は、基板支持部材24上に位置付けされた基板のエリアにわたって均一にガスを送出する。ガス分配プレート26および混合ガス・マニホルド46は、アルミニウムで作られるのが好ましく、またガス分配プレートから温度制御リッドアセンブリ14への熱移動を許容するのに十分な厚みである。
ガス分配プレートアセンブリに関して、比較的厚いフェイス・プレート92を備えた従来の薄いブロッカ・プレート90が、熱制御装置としても作用する。混合ガス・マニホルド46が、加熱された質量(固体)として作用し、その熱容量および高い熱伝導性がガス分配プレートからその周辺への熱慣性抵抗温度変化源として作用する。ガス混合マニホルド46は、プレートの材料を通るガス「チャネリング」の作用を回避し、基板面にわたるガスボリュームのより均一な分布を提供する。ガス分配プレートはアルミニウムで作られるのが好ましいが、他の熱伝導性材料も使用できる。
図9は加熱要素80および混合ガス・マニホルド46を示すチャンバリッドの上面図である。リッドボディの下方面がガス・マニホルド46をモニタするための一つ以上のチャネル104を規定している。図10はガス・マニホルド46の部分断面図である。ガス・マニホルド46は、一つ以上のガス・チャネル48、54を規定するガス分配ブロック61を含んでいる。これらのチャネル内に、その一端に一つ以上のガス・インレット38、52を、また、その他端にガス・アウトレット56を備えている。ガス・アウトレット56がガス分配プレート26のガス・インレットとして作用する。環状コンダクタンス抵抗プレート63が、ガス分配プレートをマウントするためのガス分配ブロックの下部面上にマウントされ、また、ガス・マニホルドとガス分配プレート間の境界におけるガスの漏洩を阻止している。コンダクタンス抵抗プレート63は、環状マウント凹所65を規定するような大きさに形成され、適用され、ここにガス分配プレートが固定されている。
気化ガス経路48および酸化剤ガス経路54がガス・インレットからガス・アウトレットまでガス・マニホルドの長さに沿って少なくとも部分的に延長している。制限ガス経路37が、蒸気ガス経路と酸化剤ガス経路間に配備され、酸化剤ガスを適切に混合してガス・アウトレットに送出し、ブロッカ・プレートとフェイス・プレートに送出する。制限経路37は酸化剤ガスを、比較的高速で気化ガス経路に送出して、ガスの混合を助ける。別の方法、ないし付加的に、第2セットの気化ガス経路と酸化剤ガス経路、キャリア・ガス経路またはクリーニングガス経路(遠隔プラズマ源からのクリーニング・ガス種を送出)もチャンバ壁を通して設けて、第2ガス・マニホルドにこれらのガスを送出することもできる。
図4は本発明のポンピング・装置18の部分断面図である。このポンピング・装置18は、排気経路およびチャンバへの関連するポンプに連結するチャンバにマウントされたポンピング・ノーズ106を含んでいる。このポンピング・ノーズ106はその長さに沿ってガス経路110を規定するハウジング108を含んでいる。ハウジングが取り外し可能加熱ライナー112を支持している。ハウジングとライナー両者が一対のポート114、116を規定しており、一つのポート114がコールド・トラップと排気ポンプに連結され、他方のポート116がターボポンプ118、またはこれら両者間に配備されたゲートバルブ120を備えた他の高真空ポンプに連結されている。
排気ライナー112は、ノーズ・ハウジング108内に摺動可能にマウントされる形状と大きさに形成されるとともに、マウントフランジ122を含み、その一端にハウジングの端がマウントされている。第2マウントプレート123が、第1[マウントプレート]にマウントされO−リング・シール125を用いてこれにシールされている。排気ライナーは、チャンバ内の排気マニホルドに開口している中央経路110を規定するボディ124と、二つの出口ポートを含んでおり、これらのポートは高真空ポンプ、排気ポンプおよび関連するコールド・トラップに連結されているのが好ましい。6個のマウントブロック126、128、130(3個のみを示す)が、中央経路の長さに沿って少なくとも一部に延長して4個のカートリッジ・ヒーター132と2個の熱電対134をマウントしている。複数の熱電対が、バックアップするとともに温度の均一性をチェック可能にしている。一実施形態において、熱電対はライナーの下部から延長し、他方でヒーターがライナーの頂部に沿って、また中央部に配備されている。しかし、他の形態、例えばヒーターを頂部と下部に、熱電対を中央に、あるいはヒーターを下部と中央に、熱電対を頂部に配備することを本発明では企図している。ヒーターは平行に、また二つの連結部はライナーのマウントフランジに設けて電源への接続を容易にするのが好ましい。装置から外したときに、マウントプレート上方にキャップをマウントすることができ、これによって排気ライナーが、ヒーターへの電気接続部の危険にさらす恐れをなしに容易に洗浄することができる。このキャップはO−リング・シールまたは他の適当なシールを用いて第2マウントプレート123にシールすることができる。さらに、ハンドルを第2マウントプレートにマウントして、排気ライナーをノーズから容易な取り外しを可能にし、洗浄バス内に浸水できるようにするのが好ましい。第2マウントプレート123は、ヒーターと熱電対のためのクイック・コネクトを含んでいるのが好ましい。図12はヒーターと熱電対およびその位置を示す第2マウントフランジ(プレート)123の正面図である。
図11は排気ライナー112の断面図である。マウントフランジ122近傍のライナーの端部には薄い壁部136を含み、その周縁の回りは熱チョークとして作用する。この熱チョークは、マウントプレート123と排気ハウジング間に配備されたO−リングが上昇した温度にさらされないことを保証している。さらに、この熱チョークはハウジングへ移動される熱量を調節し、これによってライナーを加熱するのに必要とする電力量を最少(すなわち、最適)にしている。チャンバの近端部は、排気マニホルドの内壁の湾曲した外形と一致してカーブしている。テフロン(登録商標)ねじ138が排気ライナーのチャンバに、排気ライナーの少なくとも下部および/または側壁に、好ましくは両者に挿入され、滑らかな表面を提供して、ライナーのハウジングへの挿入またはこれからの取り外しが摺動でき、ノーズ・ライナーおよび/またはハウジングのひっかきを阻止している。250℃の温度に耐え、不要な汚染物を放出せず、また種々のアグレッシブな洗浄溶液と適合することができるので、テフロン(登録商標)が好ましい。しかし、これらの特徴を有するように処理された他の材料で形成されたねじまたはプラグも効果的に使用できる。
図1を参照して、ターボポンプ118、または他の高真空ポンプがポンピング・ノーズのアウトレット・ポート116にマウントされている。ゲートバルブ120がターボポンプとノーズ間に配備され、ターボポンプのチャンバとの選択的な連絡を可能にしている。このターボポンプは真空チャンバを非常に低い圧力にすることが可能で、アメリカ合衆国、カリフォルニア州、サンタ・クララのアプライド・マテリアルズ・インコーポレイテッドから入手できるエンデュラ(Endura)(商標名)プラットホームのような処理プラットホームと互換性がある。業界で使用されている荒引きポンプ、ドライ・ポンプまたは他のポンプのような排気ポンプがノーズ内の排気ポート114でチャンバに連結され、処理中チャンバをポンプしている。コールド・トラップ140が、排気ポンプに連結しているコンジット内に配備され、ポンプに有害な堆積材料を濾過している。さらに、第2コールド・トラップ142が第1コールド・トラップの下方に配備されるとともに、バーポライザからのバイパス・ラインに連結されている。このバイパス・ラインおよび関連するコールド・トラップが、ウエハの移送中これへの気化材料の送出を許容することによって形成された連続する流れ内での装置の作動を許容している。
図13は本発明のコールド・トラップ・フィルタの斜視図である。このコールド・トラップは管状ハウジング144(図1に示す)内に収容され、その上に材料を凝縮させるための複数の冷却経路148を含むフィルタ部材146を含んでいる。このフィルタ部材はベース部147とフィルタ部149とを備えている。フィルタ部149は、その中に形成された複数の冷却経路148を有している。水インレット151および水アウトレット153がコンジット155、157内に配備されている。ガスがフィルタ部材を通過し、フィルタ部材の中央部150と連通する配備された排気経路に続く。この構造により、ガスはフィルタ部149を通過し、排気装置を通ることができる。ハウジング144が、中央チャンバ部150に流体連結されたインレットを有する排気ポンプに連結されたコンジットを設け、ガスはコールド・トラップを通過して、コンジットから排出装置に続く。
パージ・ガス機構がパージ・ガスをチャンバの下部に提供して、その結果、チャンバの底部から放出されるガスの上方向を向いた流れをガス・シールドする。ガス・シールド強度は質量流量制御器によって調節可能である。適当なパージ・ガスにはヘリウム、アルゴンおよび窒素が含まれ、パージ・ライン31と円形マニホルドを介して導入することができ、シーリング・ベローズ内で基板支持部材とエレベータ・シャフトの回りにガスを均一に分布させることができる。堆積処理との干渉を回避するために、ガス流量は比較的低く、例えば、50sccmに設定しなければならない。さらに、パージ・ガスはライナーに近接し、ウエハのエッジから離れて排気空間に向けられる。
バーポライザ
図14はチャンバ12近傍にマウントされた気化モジュール16を示す斜視図である。バーポライザ120が、バーポライザ・キャビネット122内にマウントされ、インレットをチャンバに連結されたアウトレット・ライン124を含んでいる。アウトレット・ライン124に沿って第1バルブが配備され、これにバイパス・ライン(図示省略)が連結されキャビネット122の裏から延長され、コールド・トラップ142(図1参照)の設けられたコンジットによって排気装置に連結されている。バイパス・ラインが気化ガスと流体溶剤両者をコールド・トラップに送出するように適用されている。このコールド・トラップは気化ガスをチャンバに送出する準備中、または装置のクリーニング中のバルブから下流に配備される。このバルブは気化材料のチャンバへ、または排気装置中のコールド・トラップへの送出をする。第2バルブ128が第1バルブから下流に配備され、気化ガスのチャンバへの送出を選択的に行なう。この第2バルブはロッドとワッシャアセンブリ130を介してチャンバの下方部にマウントされる。このアセンブリは送出ラインと、チャンバに関連するバルブの調節を可能にする。マウント部材は通常第1および第2リング132、134をそれぞれ含み、一つが他方に配備されてアイソバルブ(isovalve)128と送出ラインの回転調節を許容している。アイソバルブ128は、複数のロッド(ここでは4本を示す)を介して外方リング134にマウントされている。ロッドはリングからマウントされ、ロッドとリング134の上部の上方に配備されたばね138を含んでいる。二つのリング132はアセンブリの回転を可能にし、一方、ばねとロッド機構がアセンブリの垂直方向の調整を許容して、ガス供給ライン124のフィードスルー40を通るチャンバへの適切なアライメントを保証している。通常、吊り下げ装置が熱膨張/収縮のための自動補正を提供し、機械的および熱的ストレスなしに真空シールを維持している。
図15は本発明のバーポライザ120の一実施形態の断面図である。このバーポライザは通常、バーポライザのインレット・ポート172を貫通する注入ノズル170を含んでいる。同心経路174がガス注入ノズル170の外周縁の回りに配備され、一つ以上のキャリア・ガスをノズルの先端に送出する。好ましくは、同心ガス経路は、低摩擦係数のためPTEFで作られ、詰まりを回避する。キャリア・ガスがノズルの回りを同心的に流れて、ノズル先端における液滴の形成とノズルの外方シリンダの繰上げを阻止している。ノズル170へ送出される流体が、アルゴンのようなキャリア・ガスをバーポライザの中央カップ状部176に送出する。バーポライザのカップ状部が、流体注入ストリームのための中央受け部を形成し、ここで気化が開始される。複数のフィン178が中央カップ状部176の回りに配備され、これに沿って気化が生じる曲がりくねったパスないしラビリンスを規定している。このフィン178はリング内で互いに隔置され、オフセットされてパスを形成し、これに沿ってガス蒸気が拡散され、さらに十分な距離に保たれて詰まりの恐れが減じられている。一つ以上のノッチ180がフィンの上部に形成され、ガス流れを許容するガス流れ経路を規定するが、フィンは気化されない流体は少しもトラップしない。これにより流体のバーポライザとチャンバへの通過が阻止されるとともに、溶剤がチャンバに入る恐れなしに、クリーニングのために溶剤をバーポライザに送出することを可能にしている。
フィンの最外方円とバーポライザ部を取り巻く内部円筒状壁との間に規定された円形パスが、複数のポート182(例えば6個)と、主アウトレット184に収斂している関連するガス送出経路に連結されている。角度付き通路(ポート)182の構成が、バーポライザ内の短い共振時間の間に大きいコンダクタンス(伝導性)を提供するとともに、蒸気流パスの検査とクリーニングを容易にしている。全ての経路は下方ブロック186と上方ブロック188の大きい固体によって取り囲まれており、これら両者が一緒に組み立てられてバーポライザを形成し、金属対金属シール187を含んでいる。上方および下方ブロックが溝190を規定し加熱要素をマウントしている。この構成が、蒸気だけでなく気化面が、主気化部の下流まで(その内部においても)最適な恒温に維持されるのを保証することを助けている。
気化部のフィン178は、別体の固定パーツではなく、上方および下方ブロックの一体パーツとして形成されるのが好ましい。従って、これまでのデザインとは逆に、加熱面は熱的に「フローティング・ピース」で構成されていない。すなわち、部品の温度が、その部品の固定されている一つ以上の別体の熱的固体(thermal masses)の温度に関連して「フロート」、または変化(ほとんど制御されていない)する。好ましい実施形態において、それぞれのセットをなすフィンは相補形態に上方と下方ブロックの一致面に直接機械仕上げされ、互いにインターリーフないし堅く組み合わされて、図16に示すようなマルチ・パス、迷路状構造を形成している。その気化機能に加えて、主気化部の経路のツイストおよびターンが前駆物質要素とキャリア・ガスを混合し、キャリア・ガスのラビリンス内で方向変更してぎっしり詰め込まれることにより混入液滴を濾過する。
同心状態に配列されたフィン間の半径方向空間は、形成される恐れのある堆積物を最少にするために、約0.5mm(0.002”)であるのが好ましい。好ましい半径方向空間は約1−3mm(0.039−0.118”)、より好ましくは約2mmの範囲内である。好ましい実施形態において、円形フィンは高さが約2−8mmで、1インチ当り(半径方向に測定して)2−6個のフィンの密度である。好ましい主バーポライザ部の全内径は75mm、6個の同心円は約2mmの半径方向空間で設けられている。各円は4個のフィンを有し、フィンの大きさと円周方向(端から端)空間は、円の直径と直接関係して変る。フィンの最大および最小の端から端の空間は、それぞれ30mmと2mmであり、キャリア・ガス流れ、前駆物質の蒸気特性および前駆物質の熱安定性によって決まる。フィン間の空間は、バーポライザの詰まりを阻止するのに重要で、気化(気化)が発生する最大面積を提供する。低揮発性を有する前駆物質は比較的高い伝導性(コンダクタンス)と少ないフィンを必要とする。低い熱安定性を有する前駆物質は比較的短い共振時間、従って、高いキャリア・ガス流れ、短いパスおよび少ないフィンを必要とする。激しい、または液滴を発生する現象を有する前駆物質は比較的多いフィン数を必要とし、液滴の詰め込み濾過を強化する。
バーポライザアセンブリの重要な特徴は、流体前駆物質混合物の主気化部へ送出し、前駆物質をキャリア・ガスとの混合を提供する機構である。流体前駆物質要素の混合物は、ノズル170または毛細管(例えば、2−20ミルの内径)を介して主気化部の中央に送出する。流体およびガスが、比較的高い流量、例えば、流体で10ml/min、ガスで100−2000sccmで供給され、これによって流体の毛細管からの放出と、主気化部への流入が高いノズル速度で流体とガスのジェットとなる。重要なのは、流体混合物経路の最終の短い部分の他は全て熱チョーク構造195によって比較的クールに維持され、気化(気化)の前の流体前駆物質要素の熱分解を少なくしている。特に、毛細管が、図15に示したように上方ブロックのに固定されるか、またはこれの一体部として形成される比較的細い管内またはネック部192内に延長する。このストレッチに沿った毛細管の熱絶縁が、ネック部の比較的薄い壁、例えば10−100ミル厚みによって、さらに毛細管とネック部の周辺内面間の空間、および材料の熱絶縁値によって提供される。このネック部はPTFE、ステンレス・スチールまたは比較的低い熱伝導性を有する他の材料で作られるのが好ましい。冷却ブロック197および冷却チャネル199が、ノズル170の温度制御を可能にしている。
流体前駆物質が主気化部の直上で毛細管から射出されるにつれて、前駆物質が、同心的に送出されたキャリア・ガスと混合される。同心的送出キャリア・ガスが、供給ライン193または、例えば標準VCR管継手によりネック部の内部口の上部に流体連結された管によってこの点に送出される。ガスが、毛細管170と内部ネック面との間に規定された環状通路174内を下方向へ流れる。ノズル・アウトレットのレベルにおいて、キャリア・ガスが毛細管の流体前駆物質混合物の射出をピックアップし、混合物を主気化部176に送出し、ここで流体前駆物質が気化される。この初期「フラッシュ」気化を適正にすることを許容するために、毛細管ノズル170と主気化部176間の空間が好適に調節可能である。例えば、この毛細管は中央ネック口内にマウントされたウエブ状中心付けガイド195内を軸方向に移動可能に作られる。液滴の「フライパン上のダンス」作用を回避するようにフラッシュ気化の調整は、ガスと流体前駆物質の混合物の流量を調節することによって得られる。初期「フラッシュ」蒸発後、残留している流体液滴が、混合物が主気化部の曲がりくねったパスを通って進行するにつれて、加熱フィンと接触して気化される。次に、得られた堆積ガスがポートと角度付きフィーダ経路182を通って中央主経路184に送られ、さらにバーポライザ・アウトレット・ポートに送られ、直接堆積チャンバに送出される。混合物は実質上所定の適切な恒温装置温度(例えば、250℃±5℃)に維持される。出口ポートが大きいコンダクタンスに設計されているので、前駆物質蒸気は容易にバーポライザからチャンバに送出される。
バーポライザは、BSTおよびキャリア・ガスのような前駆物質要素の混合物を、曲がりくねった経路に沿って提供された増大した表面積を備えた主バーポライザ部を設けることによって気化するように作用する。この経路は混合物を均一に加熱された表面の大きい表面積に露呈し、曲がりくねったパス内をガスの流れ方向の変化中に液滴がぎっしり詰まることによる流れ中に混入した流体液滴をフィルタする。流速、従って、インパクション・フィルタ効果が、補助アルゴンまたは他のキャリア・ガスのバーポライザ注入管への流れによって自主的に制御される。従来の構成とは異なり、加熱量、例えば、気化、混合物へ供給される電力が、完全な気化を達成するのに実際に要する電力レベルより実質的に高く設定される。完全な気化のために必要とされる電力量は、前駆物質要素とキャリア・ガスの化学的性質および混合物の流量の関数である。一例として、10ml/min.のBST流量と、キャリア・ガス例えばアルゴンの200−300sccmの流量に対して、流れを加熱し完全に気化するのに要する電力量は約10Wである。理解できるように、計量バルブが流体前駆物質要素混合物の流量に直接関係するガス流量を制御するのに使用できる。
本発明によれば、バーポライザに移送される熱出力は、混合物を完全に気化するために必要とする10Wより1から2倍大きく、すなわち、約100Wと1000Wの間に設定され、好ましくは20−30倍、すなわち、200−300Wである。この方法において、流れている混合物によって吸収された加熱電力は利用可能な加熱電力の小さい端数である。従って、ガス蒸気によって吸収される電力は、利用可能な加熱電力に関してはささいな摂動であって、加熱面の理想的な恒温(例えば、250℃±5℃)を実質上維持することができる。通常、使用される前駆物質要素混合物に依存して、理想の恒温装置温度は約200−300℃の範囲となる。
さらに、バーポライザボディは、主気化部の恒温の維持を保証する働きをするような形態に作られている。特に、加熱面は金属、例えばアルミニウムまたはステンレス・スチールからなる上方および下方ブロックの接合面に一体的に形成されるのが好ましい。両ブロックは、これらのブロックを取り巻く一つまたは一対の加熱要素によって発生された熱エネルギの保持と伝導のために比較的大きい熱質量を提供する。好ましい実施形態において、上方および下方ブロックは円筒状ロッドのセグメントとして設けられ、また、一つまたは一対の加熱要素、例えばケーブル・ヒーターが、ロッド・セグメントの円周の回りを螺旋状に、かつ、長さ方向に沿って包まれている。
一つの特殊な例として、上部および下部の円筒状ブロックが、各々3.5”の外径を有している。トップ・セグメントは1”の長さで、下方セグメントは2”の長さでる。セグメントは、軸方向に、ブロックの周辺の回りに等間隔で延長する複数の例えば8本のボルトによって互いにボルト付けされている。好ましくは、このセグメントは一つまたは両方のブロック内に設けられた円形溝に嵌合されるとともに主バーポライザ部を取り巻くようにして、公知のタイプの高温金属対金属シールで互いにシールされている。金属対金属シールの一例としてはヘリコフレックス(Helicoflex)社のアルミニウム製デルタ(Delta)がある。
加熱要素は、約1000Wと3000Wの間の総加熱電力をブロックに供給するのが好ましい。別体のヒーターが、上方および下方セグメントを加熱するのに使用される場合、1500Wの下方ヒーターと675Wの上方ヒーターが使用され総加熱電力2175Wが供給される。螺旋溝(図示省略)がブロックの外面上に形成され、加熱要素が溝内に例えば溶接によって固定されるのが好ましい。ヒーターは従来のPIDコントローラによって主気化部を適切な恒温に維持するように制御される。このコントローラは、上方セグメントの一方、好ましくは両方内に加熱気化面直近に配置された熱電対に接続される。
図17に示した他の実施形態において、上方および下方ブロックは互いに入り込んだフィンを設けておらず、むしろフィン構造178が下方ブロックのみに配備されている。上方ブロックは気化チャンバの上方ルーフ179を規定している。フィン178は互いに隔置され、経路を含み、ここから気化ガスがフィン構造に流れ込み、アウトレットから放出されるようになっている。この構成は気化ガスのコンダクタンスを大きくするとともに、バーポライザの共振時間を減じることができると考えられる。
装置の適用
本装置を使用して堆積できる例としての酸化金属層には、五酸化タンタル(Ta2O5)、チタン酸ジルコン酸塩(ZrxTiy Oz)、チタン酸ストロンチウム(SrTiO3)、バリウム・チタン酸ストロンチウム(BST)、チタン酸リード・ジルコン酸塩(PZT)、ランタン−ドープPZT、チタン酸ビスマス(Bi4TiO12)、チタン酸バリウム(BaTi3)、BST、PZT、ランタン−ドープPZT、またはこれと同様のものが含まれる。堆積できる他の材料には気化と分解との間の狭い範囲を有するこれらの材料が含まれる。
本発明に使用される基板は、主としてPタイプおよびNタイプのシリコンが含まれる。特定する処理化学装置(特性)および所望の最終製品に依存して、他の基板材料も使用可能であって、例えばゲルマニウム、ダイヤモンドのような他の半導体、例えばGaAs,InP,Si/Ge,SiCのような化合物半導体、およびセラミックスなどである。
集積回路デバイス内の回路素子上方の層のための材料の選択は、形成されるデバイスと、特定層が目下接触している、あるいは続いて接触する他の層によって決まる。例えば、DRAMは高い誘電率コンデンサを必要とするが、酸化金属誘電体層は強誘電特性を有している必要はない。
本装置によって作られるデバイスには、これに限定されるのもではないが、64Mbit,256Mbit,1Gbitおよび4Gbit DRAMが含まれる。
この装置は、銅のような材料を初めとし、揮発性のある他の流体前駆物質に対して特別な適用例を有している。
流体送出装置
図18は本発明の流体送出装置200を示す斜視図である。この流体送出装置は、流体前駆物質モジュール202、溶剤モジュール204およびバーポライザ・モジュール206を通常含んでいる。一実施形態において、流体前駆物質モジュール202は、二つの加圧アンプル208、210と各アンプルに連結された流体送出ライン212を含んでいる。バルブが流体送出ラインの長さに沿って配備され、アンプルから混合ポート、さらにバーポライザへの流体の流れを制御している。次に説明するようなゼロ死空間バルブが、前駆物質の収束を回避するのに使用され、この収束によるバルブを処理の安定化および/または反復性に対してマイナスな作用を受ける危険にさらされないようにする。ゼロ死空間バルブは溶剤を使用するラインから前駆物質の急速なフラッシングを可能にする。溶剤がライン214による流体分配ラインへ送出され、保守中装置をフラッシュ(洗浄)する。さらに、パージ・ガス・ラインが流体送出ラインに連結され、ラインからの溶剤を急速にパージさせ、これによってアンプル、バルブおよび/またはLFCを含む装置が、10分から30分で保守の準備ができる。バルブ構成は、それが必要なときにデザインされ、溶剤が流体分配ライン上流に導入され混合ポートを形成して、バイパス・ライン218を介してラインをフラッシュするとともに、コールド・トラップおよび排気マニホルドを含む回収装置から放出することができる。
アンプルは、高圧ポンプに依存することなしに、すなわち、前駆物質に露呈されるこすりパーツを備えた高サイクル機械ポンプなしに、流体前駆物質を高圧、例えば500psiで送るように設計される。圧力を提供するために、アルゴンのような不活性ガスが、ライン220を介して約90psi圧力でアンプル内に充填される。流体アウトレット・ライン222が、アンプル内に配備され、これによって、例えばアルゴンのような不活性ガスがアンプルに送出され、適切なバルブが開し、流体が強制的に放出され、アウトレットから適当なバルブに、また流体分配ラインに送られる。
分配ライン212が各アンプルからバーポライザに連結されている。第1死空間バルブがアンプルのアウトレットに配備され、流体の分配ライン212への分配を制御している。このバルブはバイパス・ライン218と流体分配ライン212に連結された三方バルブであるのが好ましい。バイパス・ライン218は、次にコールド・トラップと排気マニホルド(図示省略)に連結されている。高圧ゲージ224とLFC226が溶剤とパージ・ガスを導入するバルブ228から下流に配備されている。LFCが流体前駆物質分配ライン間に連結された混合ポート230への流体の送出を制御している。低圧ゲージ232がバイパス・ライン218に連結されライン中の圧力をモニタし、これによって保守ルーチンの完全性を決定することができる。
流体前駆物質分配ライン212が、流体前駆物質をバーポライザ120から上流の混合ポート230に送出する。溶剤送出ライン234も溶剤を、混合ポートから下流の流体送出ラインに送出する。混合ポートにおいて、前駆物質と溶剤が混合され、バーポライザに送出される。バーポライザにおいて、キャリア・ガス・ライン236がキャリア・ガスを送出ラインに送出し、流体前駆物質および溶剤が毛細管またはノズルを介してバーポライザに送出される。さらに、中央キャリア・ガス・ライン238がノズルまたは注入チップの回りにキャリア・ガスを送出して、たとえ少量の流体でも気化面への送出を保証している。混合ポートから、またバーポライザへの送出ラインは、テフロン(登録商標)PTFEのような低摩擦係数を有する材料で作られ、ライン内のハングアップ(立ち往生)がないのが好ましい。この特徴が少量の流体前駆物質の送出を助けている。
溶剤モジュール204が、流体前駆物質アンプルと同様の一つ以上の充填可能アンプルを含んでいる。二つの溶剤アンプル240、242および二つの流体前駆物質アンプル208、210がるのが好ましい。流体前駆物質アンプルは、二つの別々の前駆物質を送出でき、混合ポートで混合され、あるいは同じ前駆物質を一緒にまたは別々に送出することができる。
流体前駆物質アンプルはスロット付き/彫刻された底部に設計され、アンプル内に下方向に流体が引き入れられ、これによって流体は(1)非常に低いレベルで検出でき、また(2)低いレベルにおいてもアンプルから引き出すことができる。これは排気することが好ましくない高価な流体を処理する場合に特に重要である。さらに、アンプルはたとえ低いレベルにあるアンプル内の流体のボリュームを識別するための超音波検出器を含んでおり、これによって連続処理が達成できる。
図19はゼロ死空間バルブの斜視図である。このバルブは流体前駆物質インレット252と溶剤インレット254、さらに単一アウトレット256を含んでいる。溶剤が溶剤制御アクチュエータ258を通って溶剤インレットを介して流体前駆物質制御アクチュエータ260に送出される。プランジャ262が、図20に示した溶剤制御アクチュエータへの流入、従ってここからの流出を制御する。アクチュエータ内のプランジャ264が開位置にあるとき、流体前駆物質が前駆物質インレット252を通り、前駆物質制御アクチュエータ260に送出される。プランジャが閉位置にあるとき、前駆物質のアクチュエータへの流入が阻止され、プランジャによる、また溶剤がバルブへ流れることによってバルブの洗浄(フラッシュ・アウト)がなされる。溶剤はまた前駆物質制御アクチュエータ260にも流入して、ここでプランジャが開位置または閉位置にあって図20に示すようにバルブの溶剤パージを可能にする。プランジャは、溶剤のアクチュエータへの流れを可能にしながら流体前駆物質インレットをシールする形状に形成される。流体前駆物質がシャットオフされているときに、連続する溶剤流れが装置への連続するパージを許容する。
さらに、単一アクチュエータバルブがアンプルのアウトレット上に配備され流体前駆物質の送出を制御するとともに、アクチュエータ内の詰まりを阻止している。また、二方バルブがバーポライザ・パネル内の流体流れコントローラの下流側に配備されているのが好ましい。
送出管はテフロン(登録商標)のような材料で作られるのが好ましく、管内の摩擦のない流体流れを促進し、管のパスに沿った詰まりと堆積を回避する。テフロン(登録商標)は、BSTの堆積に使用されるバリウム、ストロンチウムおよびチタン前駆物質流体のような材料のためのより優れたコンジットを提供することが分かっている。
プランミング・装置は、ルーチンの保守中、ラインやバルブの急速フラッシングが可能なように設計される。さらに、これは各バルブのシーケンス的遮断を可能にするように適用されるとともに、停電の場合にバーポライザと送出ラインを介する溶剤の制御された量の自動的フラッシュを送出する。この安全特性は、制御できない停電中、装置が詰まることのないことを保証する。
送出装置は、また気泡装置を備え、ここでアルゴンのようなキャリア・ガスが溶剤によって泡立て、前駆物質からの早期溶剤蒸発を抑制することができ、これによって前駆物質流体がバーポライザへの途中で乾燥されないことを保証する。
元の位置の流体流れコントローラと圧電制御バルブが、装置にわたる高い制御を維持するのに使用される。高圧ゲージが前駆物質と溶媒ライン上に設けられ、真空マニホルド上の真空ゲージも使用される。これらのゲージは広範囲の漏洩完全性測定のためにも使用される。
本発明の好ましい実施形態は、流体CVD要素と、ニードルバルブのような関連するLFCの二つの加圧アンプルとを有する流体CVD要素送出装置を含んでいる。このバルブは摺動シールなしで作動し、250psi以下の圧力で使用することができる。二つの溶剤アンプルが、洗浄と保守のために、さらに処理中には混合ポートにも溶剤を流体送出ラインに送出する。
BST処理
堆積処理に使用するために所望の蒸気が、所定の質量とモル比で混合された第1および第2気化流体前駆物質の混合体として示される。BSTの堆積に使用するために、第1流体前駆物質は酢酸ブチルのような適当な溶剤中のBaとSrポリアミン要素の混合物の一つであるのが好ましい。好ましい混合物は、一般的にBaPMDET(tmhd)2として知られているビス(テトラ・メチル・ヘプタンジオネート)バリウム・ペンタ・メチル・ジエチレン・トリアミンと一般的にSrPMDET(tmhd)2として知られているビス(テトラ・メチル・ヘプタンジオネート)ストロンチウム・ペンタ・メチル・ジエチレン・トリアミンの化合、または別のものとして、一般的にBa(tmhd)2テトラグリムとして知られているビス(テトラ・メチル・ヘプタンジオネート)バリウム・テトラグリムと一般的にSr(tmhd)2テトラグリムとして知られているビス(テトラ・メチル・ヘプタンジオネート)ストロンチウム・テトラグリムとを合わせたものである。第2流体前駆物質は、一般的にTi(I-pr-o)(tmhd)2として知られているビス(テトラ・メチル・ヘプタンジオネート)ビス・イソプロパナイド・チタニウムまたはTi(tBuO)2(tmhd)2のような他のチタン金属酸化物ソースが好ましい。第1流体前駆物質と第2流体前駆物質中の混合金属間のモル比は、約2:1:4Ba:Sr:Tiであるのが好ましい。モル比は約2:1:2から約2:1:8まで変わってもよい。
BST処理は、第1および第2流体前駆物質を、酸素、N2O、O3のような酸化ガスまたはこれらの混合物を用い、前駆物質の気化温度より高い温度と要素の質を低下させる温度以下で混合する。この処理は基板の温度、流体前駆物質の溶剤含有量、混合ガス内の酸化剤の濃度に対して非常に敏感である。ウエハ温度が高くなると堆積速度が高くなり、流体前駆物質の溶剤含有率が低下すると、フィルムのヘーズ(曇り)が少なくなり、酸化剤の流量を制御するとフィルムと結晶層の粗さが制御される。
図21は、本発明の好ましい実施形態のCVDBST200mm基板処理におけるヒーター温度に対する堆積速度のグラフである。600℃のヒーター温度が、前駆物質の実質的な劣化を見ることなしに高い堆積速度を提供する。ヒーター温度は約300℃から約800℃で変化してもよい。図21に示した例として、第1前駆物質は、モル比が2:1のBa:Srを有する酢酸ブチル中BaPMDET(tmhd)2とSrPMDET(tmhd)2の混合物である。第2前駆物質は、酢酸ブチル中Ti(I-pr-o)(tmhd)2であり、モル比が2:1:4のBa:Sr:Tiである。基板はPt/SiO2/Si基板である。220Å/分の堆積速度が、200mg/mの前駆物質の総流体流量と1500sccm処理ガス流量(すなわち、酸素、窒素の組み合わせで、各々500sccmの流量)を用いて600℃のヒーター温度で達成された。本発明によるバーポライザも使用し、前駆物質のためのバーポライザ・ラインは240℃に維持された。
図21に示したように、堆積速度はヒーター温度の各1℃上昇につき1.3Å/分の平均で高くなり、これは温度に対して強い感度を示している。200Å/分より高い堆積速度が、高いバーポライザ効率を示している。
150Å/分の高い堆積速度処理がウエハ内およびウエハからウエハの優れたた均一性を有する高品質フィルムを提供できる。550℃のヒーター温度が470℃のウエハ温度と160Å/分の堆積速度を提供する。満足できる電気特性が169Å/分程度の高さの堆積速度で得られた。
図22は1000Kのウエハ・ヒーターの温度分の1に対する図21に示した堆積速度のログ(対数)グラフである。図22に示したように、堆積速度に関する二つの区別されたレジメ(regimes)がある。前駆物質の質量移送が堆積処理を制限し、その堆積速度のログは約5またはそれより大きい。堆積処理は、堆積速度のログが約4またはそれより小さく制限された表面反応である。これらの二つのレジメ間の遷移は約550℃または約470℃のウエハ温度で発生する。500−550℃のレジメがステップの適用範囲の適正化のために優れた均一性を提供する。結果は温度を単に変化させ、堆積速度を監視することによって得られた。重要なのは、PMDETA前駆物質が高い分解率と470℃ウエハ温度における定格制御反応中で簡単な単一遷移で、優れた特性の反応機構を許容することである。
図23は図21につき説明した処理条件を用いて本発明によって製造された高品質フィルムを説明する。三つの堆積操作が二日周期にわたって実行され、1150Å、550Åおよび550Åの厚みを有するフィルムを堆積した。ウエハの均一性を、ウエハ番号対測定されたチタン濃度のグラフとウエハ番号対測定された堆積速度(Å/min)のグラフで示す。このグラフは、ウェハごとの堆積速度が均一であり、望ましいターゲットレートを達成することを示す。このグラフはまた、処理の改善の機会を表す各操作における最初の数個のウエハのためのTi濃度中の急速な変化も示す。このグラフは、さらに組成物が期待された堆積時間に対してそう敏感でないことを示す。図23は、3パーツ、バリウム、ストロンチウムおよびチタン混合物の使用と連続流れモードでバーポライザを操作することによってさらに改善できる合理的なタイト・プロセス・コントロールを示す。
図24は堆積中プラス・マイナス0.5℃のウエハ・ヒーター温度でTi感度試験の表である。この図は二つの分離したウエハに対するTi,BaおよびSrのモル%を示す。SiPrimeはこれまでに使用されていないシリコンを意味する。Si Reclは他の処理からの再使用シリコンを意味する。Pt/ox 1は、物理気相成長を用いてその上にプラチナがスパッタされている酸化シリコン基板である。Pt/ox 2は、電子ビーム・プラチナとしてさらに特徴付けられた酸化プラチナ基板である。マトリックスは、堆積が6個のケースの内5個で優れた反復性を生じる間にプラスまたはマイナス0.5℃を示す結果となった。さらに、マトリックスは、基板がPt対Siに対して約8−10モル%を超えるTiでコーティングされ、また20%Ti(I-pr-O)に対して約2モル%Tiの基板感度を示す。
図25は図21で説明したCVDBST処理中の温度に対するTi,BaおよびSrの組生物感度のグラフで、Ti,BaおよびSrの濃度(モル%)を、ウエハ・ヒーター温度に対してそれぞれプロットしたものである。約600℃において、堆積フィルムのTi濃度は、ヒーター温度が2℃上昇する毎に1モル%増える。約600℃において、堆積フィルムのBa濃度は、ヒーター温度が2.5℃上昇する毎に1モル%減る。約600℃において、堆積フィルムのSr濃度はヒーター温度が10℃上昇する毎に1モル%減るという強い温度依存性を呈する。この温度依存性は680℃のヒーター温度において実質上低下する。
本発明の好ましい実施形態において、600−750℃範囲にヒーターを維持して電気特性と最適段階の適用範囲を最適にする。ある一定温度範囲で使用されたある種の化学物質が優れた結果をもたらすことが分かった。特に、ポリアミンをベースとしたBaおよびSr前駆物質およびTi(I-pr-O)が、本発明において最高の働きをすると考えられる前駆物質である。プラス・マイナス0.50℃のウエハ・コントロールが、上述した前駆物質に好ましい。
実施例1
本発明に基づく好ましいプロセスは、BSTフィルムを、ガス分配シャワヘッドまたはフェイス・プレートから550ミル隔置された加熱基板ホルダー上にマウントされた200mmウエハウ上に堆積する。堆積は、ウエハ温度600℃と次の流量により1.7トルで生じる。第1前駆物質は、酢酸ブチル中33mg/minから200mg/minのBaPMDET(tmhd)2とSrPMDET(tmhd)2の混合物でモル比が2:1のBa:Srである。第2前駆物質は、酢酸ブチル中17mg/minから77mg/minのTi(I-pr-O)(tmhd)2で、モル比が2:1:4のBa:Sr:Tiで提供される。40から160Å/分の堆積速度が2900sccmの処理ガス流量(すなわち、500sccmのO2、500sccmのN2O、1500sccmのArA、約900sccmのArBの組み合わせ)を用いて達成される。本発明に基づくバーポライザも使用し、その前駆物質のためのバーポライザ・ラインは240℃に維持された。
実施例2
他の実施例において、本発明に基づくプロセスが、BSTフィルムを、ガス分配シャワヘッドまたはフェイス・プレートから550ミル隔置された加熱基板ホルダー上にマウントされた200mmウエハウ上に堆積する。堆積は、ヒーター温度約680℃と次の流量により7トルで生じる。第1前駆物質は、酢酸ブチル中33mg/minから200mg/minのBaPMDET(tmhd)2とSrPMDET(tmhd)2の混合物でモル比が2:1のBa:Srである。第2前駆物質は、酢酸ブチル中17mg/minから77mg/minのTi(I-pr-O)(tmhd)2で、モル比が2:1:4のBa:Sr:Tiで提供される。151Å/分の堆積速度が1300sccmの処理ガス流量(すなわち、250sccmのO2、250sccmのN2O、500sccmのArA、約300sccmのArBの組み合わせ)を用いて達成される。本発明に基づくバーポライザも使用し、その前駆物質のためのバーポライザ・ラインは240℃に維持された。図26と図27に示したように、二つの混合処理は、25のウエハ・ランに対して反復可能な結果を示した。
実施例3
他の実施例において、装置が溶剤としてアセトンを使用して洗浄した。使用されたアセトンは乾燥されていない。実施例1で説明したプロセスに基づく堆積プロセスを実行した。堆積速度において2x(2倍)の増大が観察された、これは残留アセトン溶媒が、前駆物質の基板への分配を安定させ、結果としてより高い堆積速度を呈したことを示している。アセトンが水素結合により前駆物質を安定化させ、これによってより前駆物質が反応のために基板面に分配されたと考えられる。
実施例4
堆積プロセス中にアセトンのような溶剤の使用が前駆物質を安定化させ、より高い堆積速度の結果となったと考えられる。
これまでの説明は、本発明の好ましい実施形態に向けられており、本発明の他のおよびさらなる実施形態はその基本範囲から外れることなしに案出され、またその範囲は特許請求の範囲によって決定される。
10…CVD装置、12…チャンバボディ、14…加熱リッドアセンブリ、16…バーポライザ・モジュール、18…排気/ポンプ・装置。
10:装置(処理チャンバ)
20:処理領域(内部処理領域)
12:チャンバボディ(チャンバ本体)
28,29:チャンバライナー(取り外し可能なチャンバライナー)
14:リッドアセンブリ(リッド)
70:主リッドボディ(リッドの本体)
80:加熱要素(リッド本体内部に内臓された加熱要素)
76:外方環状壁(リッドの周縁)
73:冷却チャネル(リッド周縁下部に内蔵された冷却チャネル)
46:ガス・マニホルド
26:ガス・分配プレート(ガス分配プレート)
90:ブロッカ・プレート(一次分配板)
92:フェイス・プレート(対面板)
18:ポンピング装置(排気装置)
106:ポンピング・ノーズ(排気突出部)
108:ノーズ・ハウジング(排気突出部のハウジング)
110:ガス経路
114:排気ポート(第1のポート)
112:取り外し可能加熱ライナー(排気ライナー)
40:ガス送出フィードスルー(ガス供給部材)
38:一つ以上の経路
42:インレット(入口)
44:アウトレット(出口)
41:外方コンジット
45:内方コンジット
49:上方マウント面(上方装着面)
62:フランジ
23:支持部(一つ以上の支持部)
74:環状チャネル
78:支持リング(支持部材)
71:リッドベース(リッド底面)
100:冷却チャネル(冷却板)
16:バーポライザ・モジュール
120:バーポライザ(気化器)
172:インレット・ポート
184:主アウトレット(アウトレット)
182:複数のポート(一つ以上の流体経路)
170:注入ノズル(ノズル)
174:経路(周辺流体経路)
178:気化部のフィン(流体経路に沿って配置されたフィン)
208、210:加圧アンプル
116:他方のポート(第2ポート)
118:ターボポンプ(高真空ポンプ)

Claims (111)

  1. a)周辺熱制限チャネルを規定し、この周辺熱制限チャネルから外方向に配備された外壁上に配備されたチャンバマウント面を有する主部と;
    b)チャネル内に配備された熱制限支持部材と;
    c)主部上に配備されたガス分配アセンブリと;
    を具備する処理チャンバのリッド。
  2. ガス分配アセンブリが:
    a)主部上に配備され、その中に一つ以上のガス経路を規定しているガス・マニホルドと;
    b)ガス・マニホルドに連結され、第1および第2ガス分配面を有するガス分配プレートと;
    を具備する請求項1に記載のリッド。
  3. ガス・マニホルドが、第1および第2ガス経路を備え、第1および第2ガス経路がその近端との間に配備された制限経路によって連結されている請求項2に記載のリッド。
  4. ガス分配プレートが、その中に形成された一つ以上の熱移送チャネルをさらに含んでいる請求項3に記載のリッド。
  5. リッドが主部上に配備された加熱要素をさらに含んでいる請求項4に記載のリッド。
  6. リッドが主部上に配備されたカバー・プレートをさらに含んでいる請求項5に記載のリッド。
  7. カバー・プレートが、その中に配備された一つ以上の熱移送チャネルをさらに含んでいる請求項6に記載のリッド。
  8. 主部上に配備され、ガス分配アセンブリに連結されたバーポライザをさらに含んでいる請求項1に記載のリッド。
  9. ガス分配アセンブリが、インレットと、第1および第2ガス分配面を有するガス分配プレートを含んでいる請求項8に記載のリッド。
  10. ガス分配プレートが、その中に形成された一つ以上の熱移送チャネルをさらに含んでいる請求項9に記載のリッド。
  11. リッドが主部上に配備された加熱要素をさらに含んでいる請求項10に記載のリッド。
  12. リッドが主部上に配備されたカバー・プレートをさらに含んでいる請求項11に記載のリッド。
  13. カバー・プレートが、その中に配備された一つ以上の熱移送チャネルをさらに含んでいる請求項12に記載のリッド。
  14. その中に配備され、ガス・マニホルドに近接配置された熱電対をさらに含んでいる請求項8に記載のリッド。
  15. その中に配備され、ガス・マニホルドに近接配置された熱電対をさらに含んでいる請求項13に記載のリッド。
  16. チャンバマウント面に近接配備された熱移送チャネルをさらに含んでいる請求項8に記載のリッド。
  17. チャンバマウント面に近接配備された熱移送チャネルをさらに含んでいる請求項14に記載のリッド。
  18. ガス・マニホルドが、ガス分配プレートをマウントするこのガス・マニホルドの下方部上に配備されたコンダクタンス・ガイドをさらに含んでいる請求項3に記載のリッド。
  19. コンダクタンス・ガイドが、ガス分配プレートによりシールを形成して両者間からのガスの流れを阻止する請求項18に記載のリッド。
  20. ガス・マニホルドが、第1および第2ガス経路を備え、第1および第2ガス経路がその近端との間に配備された制限経路によって連結されている請求項4に記載のリッド。
  21. ガス分配プレートが、その中に形成された一つ以上の熱移送チャネルをさらに含んでいる請求項20に記載のリッド。
  22. リッドが主部上に配備された加熱要素をさらに含んでいる請求項21に記載のリッド。
  23. リッドが主部上に配備されたカバー・プレートをさらに含んでいる請求項22に記載のリッド。
  24. カバー・プレートが、その中に配備された一つ以上の熱移送チャネルをさらに含んでいる請求項23に記載のリッド。
  25. a)中に配備された一つ以上の流体経路を規定し、流体経路がインレットとアウトレットを有するボディと;
    b)流体経路内に配備された複数の気化面と;
    c)ボディ近傍に配備された加熱部材と;
    d)流体経路のインレット内に配備され一つ以上の流体を複数の気化面上に送る流体注入部材と;
    を具備する一つ以上の流体を気化する装置。
  26. 流体注入部材の回りに配備されたキャリア・ガスをさらに含んでいる請求項25に記載の装置。
  27. 複数の気化面がボディを通るラビリンスを規定している請求項26に記載の装置。
  28. ボディが第1および第2ブロックを備えており、互いに近接してマウントされるときに各ブロックが気化面の相補的セットを規定する請求項25に記載の装置。
  29. 加熱部材が各ブロック上に配備されている請求項28に記載の装置。
  30. 流体注入部材が毛細管である請求項29に記載の装置。
  31. 複数の気化面が、流体経路中に配備された複数のフィンからなり、ラビリンスを形成する請求項27に記載の装置。
  32. 複数の気化面が、波形面からなる請求項27に記載の装置。
  33. 流体経路のインレット近傍に配備された熱電対をさらに含んでいる請求項30に記載の装置。
  34. それを通って形成された一つ以上の経路を有する流体注入部材ハウジングをさらに含み、このハウジングがバーポライザの主体に接続可能である請求項25に記載の装置。
  35. 流体注入部材がハウジング内に形成された経路の一つに配備されている請求項34に記載の装置。
  36. 流体注入部材の回りに経路内に形成されたガス・チャネルをさらに含んでいる請求項35に記載の装置。
  37. ハウジングがサーマル・チョークをさらに含んでいる請求項34に記載の装置。
  38. 流体注入管の先端が、一つ以上の流体経路のインレット内に配備されている請求項37に記載の装置。
  39. 流体注入管の先端の温度が、ハウジングを介して管に配備されることによって制御される請求項38に記載の装置。
  40. 流体注入部材の回りに配備されたガス・インレット経路をさらに含んでいる請求項39に記載の装置。
  41. ボディが第1および第2ブロックを備えており、互いに近接してマウントされるときに各ブロックが気化面の相補的セットを規定する請求項40に記載の装置。
  42. 加熱部材が各ブロック上に配備されている請求項41に記載の装置。
  43. 流体注入部材が毛細管である請求項42に記載の装置。
  44. 複数の気化面が、流体経路中に配備された複数のフィンからなり、ラビリンスを形成する請求項43に記載の装置。
  45. 複数の気化面が、波形面からなる請求項43に記載の装置。
  46. 流体経路のインレット近傍に配備された熱電対をさらに含んでいる請求項43に記載の装置。
  47. 流体注入部材に連結された一つ以上の流体注入ラインをさらに含んでいる請求項43に記載の装置。
  48. 流体注入ラインが、低い摩擦係数を有している請求項46に記載の装置。
  49. 流体注入ラインが、PTFEからなる請求項47に記載の装置。
  50. 流体注入管が、約100mg/minより小さい流量で流体流体流の安定性を提供する請求項49に記載の装置。
  51. 毛細管が、約100mg/minより小さい流体注入流量で超音波速度で気化面上に一つ以上の流体を送出するように適用されている請求項30に記載の装置。
  52. 毛細管が、少なくとも約5ミルの上方内径と、少なくとも1ミルの下方内径からなる請求項51に記載の装置。
  53. a)チャンバにマウント可能であって、その中に一つ以上のポートを規定するハウジングと;
    b)ハウジング内に配備され、ハウジングにマウント可能な取りはずし可能な温度制御ライナと;
    c)ハウジング内に形成された少なくとも一つのポートに流体的にマウントされたポンプ・装置と;
    を具備する処理チャンバのポンプアセンブリ。
  54. ライナがハウジングの第1端部にマウントされ、チャンバがハウジングの第2端部にマウントされている請求項53に記載のポンプアセンブリ。
  55. ポンプ・装置がハウジングの第1ポートに連結された第1ポンプと、ハウジングの第2排気ポートに連結された第2ポンプとを具備する請求項54に記載のポンプアセンブリ。
  56. 第2ポンプが、ポンプの上流に配備されたバルブを介してハウジングと選択的に連絡可能である請求項55に記載のポンプアセンブリ。
  57. 第2ポンプが、高真空ポンプである請求項56に記載のポンプアセンブリ。
  58. ポンプから上流に配備されたコールド・トラップをさらに有する請求項55に記載のポンプアセンブリ。
  59. ライナがその長さ方向に沿ってライナ内に形成された一つ以上のチャネルからなる請求項53に記載のポンプアセンブリ。
  60. チャネル内のライナ中に配備された一つ以上の加熱要素をさらに含んでいる請求項59に記載のポンプアセンブリ。
  61. 前記ライナが、チャネル内のライナ中に配備された一つ以上の熱電対をさらに含んでいる請求項60に記載のポンプアセンブリ。
  62. ライナが、平行に連結された複数の加熱要素からなる請求項60に記載のポンプアセンブリ。
  63. コールト・トラップが:
    a)ハウジングと;
    b)その一端を介して配備され、チャネル・ガス経路に連結された一つ以上の流体経路と、流体経路近傍に形成された一つ以上の冷却チャネルとを有するハウジング内に配備されたボディと;
    c)中央経路に流体的に連結された排気口と;
    を具備する請求項58に記載のポンプアセンブリ。
  64. ライナが、少なくともその一端に配備された一つ以上のガイド部材をさらに含んでいる請求項60に記載のポンプアセンブリ。
  65. ガイド部材が、耐摩耗性材料で作られたインサートからなる請求項64に記載のポンプアセンブリ。
  66. 材料がテフロン(登録商標)である請求項65に記載のポンプアセンブリ。
  67. a)インレットとアウトレットを有し、チャンバによりシールを形成するための肩部を規定するコンジットと;
    b)コンジットの長さに沿って配備された加熱要素と;
    を具備する、処理チャンバのガス・フィードスルー。
  68. 加熱要素近傍に配備された熱電対をさらに含んでいる請求項67に記載のガス・フィードスルー。
  69. 加熱要素がコンジットの回りに配備されたケーブル・ヒーターである請求項67に記載のガス・フィードスルー。
  70. ガス・インレットが、管継ぎ手を載置しており、インレットを流体源に連結している請求項67に記載のガス・フィードスルー。
  71. ガス・アウトレットが、その面近傍にシールを支持するために形成されたチャネルを有している上方面からなる請求項70に記載のガス・フィードスルー。
  72. コンジットが、その一端に螺合され、チャンバ内のフィードスルーを固定している請求項71に記載のガス・フィードスルー。
  73. コンジットが:
    a)マウント肩部を規定する上方部を有する外方シェルと;
    b)流体経路を形成し、その近傍に配備された加熱要素を有する中央管と;
    c)外方シェルと中央管間の少なくとも部分的に形成された空隙と;
    を具備する請求項65に記載のガス・フィードスルー。
  74. 中央管が、外方シェルの上方面を載置している請求項73に記載のガス・フィードスルー。
  75. 中央管が、第2面とによりシールを形成するための上方面からなる請求項74に記載のガス・フィードスルー。
  76. 上方載置面が、その中にシーリング溝を規定している請求項75に記載のガス・フィードスルー。
  77. 外方シェルが、ナットを受承して、そこに形成された経路内に外方シェルを固定する下方螺合部をさらに有し、請求項76に記載のガス・フィードスルー。
  78. 外方シェルと中央管が、外方シェルの上面で溶接されている請求項77に記載のガス・フィードスルー。
  79. 外方シェルが、マウント肩部上に形成されたシール溝をさらに有している請求項78に記載のガス・フィードスルー。
  80. ガス源に連結するために中央管の下方端上に配備されたコネクタをさらに含んでいる請求項79に記載のガス・フィードスルー。
  81. 中に少なくとも第1および第2ガス経路を規定するガス・マニホルドを備え、第1および第2ガス経路がインレットとアウトレットとを有し、第2流体経路のアウトレットが第1流体経路のアウトレット内に配備されている、流体を処理チャンバに送る装置。
  82. 第1流体経路と第2流体経路間に形成された制限経路をさらに含み、一つ以上のガスを第2ガス経路のアウトレットから第1ガス経路に送出する請求項81に記載の装置。
  83. 制限経路が、第2流体経路から第1流体経路に高速で流れる流体を送るような大きさに形成される請求項82に記載の装置。
  84. ガス・マニホルドに載置され、第1流体経路のアウトレットに連結されたガス分配アセンブリと、第1および第2ガス分配プレートとからなるガス分配プレートとをさらに含んでいる請求項83に記載の装置。
  85. ガス・マニホルドに載置されたコンダクタンス・ガイドをさらに含んでいる請求項82に記載の装置。
  86. コンダクタンス・ガイドに載置されたガス分配プレートをさらに含んでいる請求項85に記載の装置。
  87. コンダクタンス・ガイドが、ガス・マニホルドに載置された環状プレートである請求項86に記載の装置。
  88. ガス・マニホルドが、少なくとも第1および第2ガス経路を、チャンバに形成され、一つ以上のガスをガス・マニホルドに送出するように適用された一つ以上のガス経路と摺動可能に連結するチャンバマウント面を備えている、請求項81に記載の装置。
  89. チャンバマウント面とコンダクタンス・ガイドがガス分配プレートをマウントするためにこれら両者間にスロットを規定する、請求項88に記載の装置。
  90. ガス分配プレートが、第1および第2ガス分配面をさらに含んでいる請求項89に記載の装置。
  91. a)一つ以上の流体前駆物質をバーポライザに送出する工程と;
    b)一つ以上の流体前駆物質を気化する工程と;
    c)気化前駆物質を排気装置に連結されたバイパス・ラインを介して選択的に送出して気化プロセスを安定化させる工程と;
    d)気化前駆物質を堆積チャンバに選択的に送出してフィルムを基板上に堆積させる工程と;
    e)気化前駆物質を排気装置に連結されたバイパス・ラインを介して、基板を操作する間に、選択的に送出して気化プロセスを安定化させる工程と;
    を含むフィルム堆積方法。
  92. 気化前駆物質が、バーポライザから下流に配備されたバルブによって選択的に送出される請求項91に記載の方法。
  93. 排気装置に選択的に送出された気化前駆物質が、一つ以上のフィルタによって濾過される請求項92記載の方法。
  94. 一つ以上のフィルタが、一つ以上のコールド・トラップからなる請求項93記載の方法。
  95. バーポライザが、少なくとも約100℃の温度に維持される請求項91記載の方法。
  96. a)アウトレットを有し、一つ以上の流体を含んでいる一つ以上の加圧アンプルと;
    b)一つ以上のアンプルのアウトレットに連結され、ゼロ死空間(ホールドアップ)を有するように適用されているバルブと;
    を具備する、流体送出装置。
  97. 不活性ガスがアンプルに送出されその中に圧力が提供される請求項96に記載の装置。
  98. アンプルのアウトレットのバルブに連結された流体分配ラインをさらに含んでいる請求項97に記載の装置。
  99. バルブがゼロ死空間バルブである請求項98に記載の装置。
  100. バルブの流体送出ラインに連結され溶剤を流体送出ラインに選択的に送出する溶媒ラインをさらに含んでいる請求項99に記載の装置。
  101. バルブの流体送出ラインに連結されたパージ・ガス・ラインをさらに含み、パージ・ガスを流体送出ラインに選択的に送出する請求項100に記載の装置。
  102. 一つ以上の流体を選択的にバーポライザに送る流体流制御器をさらに含んでいる請求項101に記載の装置。
  103. 流体送出ライン上に配備された圧力ゲージをさらに含んでいる請求項102に記載の装置。
  104. a)ハウジングと;
    b)ハウジング内に配備可能で、その中に形成された一つ以上の温度制御流体経路を有するフィルタ部材と;
    を具備する流体をフィルタする装置。
  105. フィルタ部材が、チャンバを規定するボディ部材と、このボディ部材の一端とチャンバとに連結された一つ以上の流体経路をさらに含んでいる請求項104に記載の装置。
  106. 一つ以上の流体経路が、流体から材料を濾過し、経路の詰まりを阻止する大きさに形成されるように、適用された請求項105に記載の装置。
  107. 一つ以上の経路が、高いコンダクタンスを提供するように構成され、かつ、大きさに形成された請求項106に記載の装置。
  108. a)処理ゾーン内に挿入する大きさに形成され、適用された取り外し可能なインサートと;
    b)インサート内に配備された加熱部材と;
    c)加熱部材に電力を接続するための電気接続器と;
    を具備する処理ゾーンをライニングする装置。
  109. インサートが、その上に配備された一つ以上の支持部材を有する環状シールドを含んでいる請求項108に記載の装置。
  110. インサートが、金属、セラミックまたは石英からなる群から選択された材料からなる請求項109に記載の装置。
  111. インサートがアルミニウムからなる請求項109に記載の装置。
JP2013033688A 1997-09-11 2013-02-22 気化・堆積装置 Expired - Lifetime JP5760017B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/927,700 US6258170B1 (en) 1997-09-11 1997-09-11 Vaporization and deposition apparatus
US08/927,700 1997-09-11

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009235400A Division JP5473524B2 (ja) 1997-09-11 2009-10-09 気化・堆積装置および方法

Publications (2)

Publication Number Publication Date
JP2013118402A true JP2013118402A (ja) 2013-06-13
JP5760017B2 JP5760017B2 (ja) 2015-08-05

Family

ID=25455110

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2000511223A Expired - Lifetime JP4901000B2 (ja) 1997-09-11 1998-09-03 気化・堆積装置および方法
JP2009235400A Expired - Lifetime JP5473524B2 (ja) 1997-09-11 2009-10-09 気化・堆積装置および方法
JP2013033688A Expired - Lifetime JP5760017B2 (ja) 1997-09-11 2013-02-22 気化・堆積装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2000511223A Expired - Lifetime JP4901000B2 (ja) 1997-09-11 1998-09-03 気化・堆積装置および方法
JP2009235400A Expired - Lifetime JP5473524B2 (ja) 1997-09-11 2009-10-09 気化・堆積装置および方法

Country Status (6)

Country Link
US (10) US6258170B1 (ja)
EP (1) EP1018199A2 (ja)
JP (3) JP4901000B2 (ja)
KR (1) KR20010023887A (ja)
TW (1) TW576872B (ja)
WO (1) WO1999013545A2 (ja)

Families Citing this family (499)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6216708B1 (en) * 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
JP3470055B2 (ja) * 1999-01-22 2003-11-25 株式会社渡邊商行 Mocvd用気化器及び原料溶液の気化方法
US6605475B1 (en) * 1999-04-16 2003-08-12 Perspective Biosystems, Inc. Apparatus and method for sample delivery
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
JP2000345345A (ja) * 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
DE19932630C2 (de) * 1999-07-13 2003-12-04 Perkin Elmer Bodenseewerk Zwei Einheit für eine Plasma-Atomisierungsvorrichtung mit Plasma-Gaszuführeinrichtung, Probenzerstäubereinrichtung und Probeninjektionseinrichtung
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
US6444478B1 (en) * 1999-08-31 2002-09-03 Micron Technology, Inc. Dielectric films and methods of forming same
KR100649852B1 (ko) * 1999-09-09 2006-11-24 동경 엘렉트론 주식회사 기화기 및 이것을 이용한 반도체 제조 시스템
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6302966B1 (en) * 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
EP1230664B1 (en) * 1999-11-15 2008-05-07 Lam Research Corporation Processing systems
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
EP1313890B1 (en) * 2000-04-06 2006-10-11 ASM America, Inc. Barrier coating for vitreous materials
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6558517B2 (en) * 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
WO2002002842A2 (en) * 2000-06-29 2002-01-10 Applied Materials, Inc. Low temperature cvd bst deposition
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
KR20020020508A (ko) * 2000-09-09 2002-03-15 윤종용 화학기상증착장치
JP4785333B2 (ja) 2000-09-25 2011-10-05 フォクセルジェット テクノロジー ゲーエムベーハー 堆積法によるパーツ作製方法
DE10047614C2 (de) * 2000-09-26 2003-03-27 Generis Gmbh Vorrichtung zum schichtweisen Aufbau von Modellen
DE10047615A1 (de) * 2000-09-26 2002-04-25 Generis Gmbh Wechselbehälter
DE10049043A1 (de) * 2000-10-04 2002-05-02 Generis Gmbh Verfahren zum Entpacken von in ungebundenem Partikelmaterial eingebetteten Formkörpern
US6258735B1 (en) * 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
EP1211333A3 (en) * 2000-12-01 2003-07-30 Japan Pionics Co., Ltd. Vaporizer for CVD apparatus
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US6566147B2 (en) * 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
DE10117875C1 (de) * 2001-04-10 2003-01-30 Generis Gmbh Verfahren, Vorrichtung zum Auftragen von Fluiden sowie Verwendung einer solchen Vorrichtung
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6637212B2 (en) 2001-04-27 2003-10-28 Matheson Tri-Gas Method and apparatus for the delivery of liquefied gases having constant impurity levels
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE10126807C2 (de) * 2001-06-01 2003-12-04 Pari Gmbh Inhalationstherapiegerät mit einem Ventil zur Begrenzung des Inspirationsflusses
US20030012875A1 (en) * 2001-07-10 2003-01-16 Shreyas Kher CVD BST film composition and property control with thickness below 200 A for DRAM capacitor application with size at 0.1mum or below
US20030017266A1 (en) * 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US7334708B2 (en) * 2001-07-16 2008-02-26 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Integral blocks, chemical delivery systems and methods for delivering an ultrapure chemical
US6889627B1 (en) * 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US7011978B2 (en) 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
JP2005527965A (ja) * 2001-08-28 2005-09-15 ハイニックス セミコンダクター マニュファクチュアリング アメリカ インコーポレイテッド プラズマチャンバ用チャンバシールド
US7431968B1 (en) * 2001-09-04 2008-10-07 The Trustees Of Princeton University Process and apparatus for organic vapor jet deposition
US7404862B2 (en) * 2001-09-04 2008-07-29 The Trustees Of Princeton University Device and method for organic vapor jet deposition
US8535759B2 (en) * 2001-09-04 2013-09-17 The Trustees Of Princeton University Method and apparatus for depositing material using a dynamic pressure
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6713127B2 (en) * 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US6528420B1 (en) * 2002-01-18 2003-03-04 Chartered Semiconductor Manufacturing Ltd. Double acting cold trap
US7003215B2 (en) * 2002-01-21 2006-02-21 Air Products And Chemicals, Inc. Vapor flow controller
US6613587B1 (en) * 2002-04-11 2003-09-02 Micron Technology, Inc. Method of replacing at least a portion of a semiconductor substrate deposition chamber liner
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
DE10222167A1 (de) 2002-05-20 2003-12-04 Generis Gmbh Vorrichtung zum Zuführen von Fluiden
NL1020748C2 (nl) * 2002-06-04 2003-12-08 Stichting Energie Werkwijze en inrichting voor het kleuren van een laag van een nanokristallijn materiaal.
DE10224981B4 (de) 2002-06-05 2004-08-19 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
US6776850B2 (en) * 2002-06-08 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd Preventative maintenance aided tool for CVD chamber
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7297641B2 (en) * 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US7311942B2 (en) 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP4183681B2 (ja) * 2002-09-23 2008-11-19 ビーエーエスエフ ソシエタス・ヨーロピア 高誘電率を有する酸化物材料の薄膜
US6908499B2 (en) * 2002-10-11 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd Cold trap for CVD furnace
JP4294976B2 (ja) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 基板処理装置
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7807077B2 (en) * 2003-06-16 2010-10-05 Voxeljet Technology Gmbh Methods and systems for the manufacture of layered three-dimensional forms
DE10327272A1 (de) 2003-06-17 2005-03-03 Generis Gmbh Verfahren zum schichtweisen Aufbau von Modellen
KR100626366B1 (ko) * 2003-07-18 2006-09-20 삼성전자주식회사 기상 증착 시스템
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7208230B2 (en) * 2003-08-29 2007-04-24 General Electric Company Optical reflector for reducing radiation heat transfer to hot engine parts
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP3962722B2 (ja) * 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
US20050147749A1 (en) * 2004-01-05 2005-07-07 Msp Corporation High-performance vaporizer for liquid-precursor and multi-liquid-precursor vaporization in semiconductor thin film deposition
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
DE102004008168B4 (de) 2004-02-19 2015-12-10 Voxeljet Ag Verfahren und Vorrichtung zum Auftragen von Fluiden und Verwendung der Vorrichtung
US7220943B2 (en) * 2004-03-26 2007-05-22 Aviza Technology Limted RF stand offs
JP4366226B2 (ja) * 2004-03-30 2009-11-18 東北パイオニア株式会社 有機elパネルの製造方法、有機elパネルの成膜装置
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
GB0411679D0 (en) * 2004-05-25 2004-06-30 Boc Group Plc Gas supply system
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US8592548B2 (en) * 2004-12-22 2013-11-26 Sabic Innovative Plastics Ip B.V. Method to prepare bis(haloimides)
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4699092B2 (ja) * 2005-06-01 2011-06-08 日本パイオニクス株式会社 酸化亜鉛膜の成膜方法
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP4943669B2 (ja) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 真空装置のシール構造
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP4828918B2 (ja) * 2005-11-07 2011-11-30 ルネサスエレクトロニクス株式会社 気化器及び気相成長装置
KR100685798B1 (ko) * 2005-11-30 2007-02-22 주식회사 아이피에스 박막증착용 기화유니트
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US7680399B2 (en) * 2006-02-07 2010-03-16 Brooks Instrument, Llc System and method for producing and delivering vapor
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8755679B2 (en) 2006-04-05 2014-06-17 Horiba Stec, Co., Ltd. Liquid material vaporizer
CN102912319B (zh) * 2006-04-05 2014-12-10 株式会社堀场Stec 液体材料气化装置
US8747555B2 (en) * 2006-05-09 2014-06-10 Ulvac, Inc. Thin film production apparatus and inner block for thin film production apparatus
US20070277536A1 (en) * 2006-05-30 2007-12-06 Johnson Coltrols Technology Company Filter for vapor compression systems
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
WO2008014434A2 (en) * 2006-07-28 2008-01-31 Caracal, Inc. Crystal growth method and reactor design
DE102006038858A1 (de) 2006-08-20 2008-02-21 Voxeljet Technology Gmbh Selbstaushärtendes Material und Verfahren zum schichtweisen Aufbau von Modellen
US20080079220A1 (en) * 2006-08-29 2008-04-03 Aviza Technology, Inc. Rotary seal for diffusion furnance incorporating nonmetallic seals
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP6097471B2 (ja) * 2007-04-27 2017-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 環状のバッフル
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080305014A1 (en) * 2007-06-07 2008-12-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8025269B1 (en) 2007-10-16 2011-09-27 National Semiconductor Corporation Chamber lid lifting apparatus
DE102007050679A1 (de) 2007-10-21 2009-04-23 Voxeljet Technology Gmbh Verfahren und Vorrichtung zum Fördern von Partikelmaterial beim schichtweisen Aufbau von Modellen
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US7983542B2 (en) * 2007-10-29 2011-07-19 Smiths Medical Asd, Inc. PID coefficient adjustment for respiratory heater closed loop control
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20090159573A1 (en) * 2007-12-21 2009-06-25 Kyu Ok Hwang Four surfaces cooling block
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
WO2009134041A2 (en) * 2008-04-29 2009-11-05 Sunic System. Ltd. Evaporator and vacuum deposition apparatus having the same
DE102008024392B4 (de) * 2008-05-15 2011-11-17 Msa Auer Gmbh Elektrochemischer Sauerstoffsensor
WO2009142911A2 (en) * 2008-05-19 2009-11-26 Applied Materials, Inc. Robust outlet plumbing for high power flow remote plasma source
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
JP2011525719A (ja) * 2008-06-24 2011-09-22 アプライド マテリアルズ インコーポレイテッド 低温pecvd用途用のペデスタルヒータ
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
KR101069842B1 (ko) * 2009-05-11 2011-10-04 에스엔유 프리시젼 주식회사 기판 처리 시스템
JP5351625B2 (ja) * 2009-06-11 2013-11-27 三菱重工業株式会社 プラズマ処理装置
US8801856B2 (en) * 2009-09-08 2014-08-12 Universal Display Corporation Method and system for high-throughput deposition of patterned organic thin films
KR101010196B1 (ko) * 2010-01-27 2011-01-21 에스엔유 프리시젼 주식회사 진공 증착 장비
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US20110283737A1 (en) * 2010-05-20 2011-11-24 Siemens Medical Solutions Usa, Inc. Process for separating gases at cryogenic temperatures
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
DE102010056346A1 (de) 2010-12-29 2012-07-05 Technische Universität München Verfahren zum schichtweisen Aufbau von Modellen
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8404028B2 (en) 2011-01-18 2013-03-26 International Business Machines Corporation Vacuum trap labyrinth
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US8511651B2 (en) 2011-03-29 2013-08-20 Smiths Medical Asd, Inc. Heater unit humidification chamber monitor
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9653267B2 (en) * 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
JP5513544B2 (ja) * 2012-04-23 2014-06-04 東京エレクトロン株式会社 基板処理装置
US9156041B1 (en) * 2012-05-07 2015-10-13 The United States Of America As Represented By The Secretary Of The Army Dimethylmethylphosphonate vapor generator
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
AT512950B1 (de) * 2012-06-04 2016-06-15 Leica Microsysteme Gmbh Vorrichtung zum Präparieren, insbesondere Beschichten, von Proben
US9476188B2 (en) 2012-06-22 2016-10-25 Kohler Mira Limited System and method for remotely disinfecting plumbing fixtures
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014041906A (ja) * 2012-08-22 2014-03-06 Ulvac Japan Ltd ガス処理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
CN104099570B (zh) * 2013-04-01 2016-10-05 上海和辉光电有限公司 单点线性蒸发源系统
NL2010809C2 (nl) 2013-05-16 2014-11-24 Smit Ovens Bv Inrichting en werkwijze voor het aanbrengen van een materiaal op een substraat.
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN103499644B (zh) * 2013-09-03 2016-04-13 中国人民解放军第四军医大学 评估牙种植体稳定性的扭转振动共振频率测量法及变幅杆
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10954594B2 (en) 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR102139125B1 (ko) * 2015-11-30 2020-07-29 울박, 인크 증기 방출 장치 및 성막 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6479080B2 (ja) * 2017-03-30 2019-03-06 本田技研工業株式会社 ブリーザ装置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102411152B1 (ko) * 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
GB2568271B (en) 2017-11-09 2020-04-22 Kohler Mira Ltd A plumbing component for controlling the mixture of two supplies of water
KR101851509B1 (ko) * 2017-11-20 2018-06-11 이상선 라이닝이 형성된 밸브 본체를 가지는 밸브의 제조방법
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20210053348A (ko) * 2018-09-24 2021-05-11 램 리써치 코포레이션 멀티플렉싱된 고 tcr 기반 앰풀 히터들
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
JP1638504S (ja) * 2018-12-06 2019-08-05
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020158798A (ja) * 2019-03-25 2020-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11504879B2 (en) 2020-04-17 2022-11-22 Beehive Industries, LLC Powder spreading apparatus and system
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
JPH08325736A (ja) * 1995-05-29 1996-12-10 Ebara Corp 薄膜気相成長装置

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3306768A (en) 1964-01-08 1967-02-28 Motorola Inc Method of forming thin oxide films
US3805425A (en) * 1973-01-26 1974-04-23 Rowenta Werke Gmbh Brush devices
US3926774A (en) 1974-11-13 1975-12-16 Petrolite Corp Electric treater system
DE3009134A1 (de) 1980-03-10 1981-10-15 Grünzweig + Hartmann Montage GmbH, 6700 Ludwigshafen Waermedaemmung fuer den gewoelbten boden- und/oder deckelbereich eines druckbehaelters
US4324845A (en) 1980-06-30 1982-04-13 Communications Satellite Corp. Metal-oxide-hydrogen cell with variable conductant heat pipe
US4616122A (en) 1980-08-06 1986-10-07 Clairol Incorporated Electrically heated facial sauna vapor generating apparatus
CH640571A5 (fr) 1981-03-06 1984-01-13 Battelle Memorial Institute Procede et dispositif pour deposer sur un substrat une couche de matiere minerale.
US4699084A (en) 1982-12-23 1987-10-13 The United States Of America As Represented By The Secretary Of The Army Apparatus for producing high quality epitaxially grown semiconductors
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US5391232A (en) 1985-12-26 1995-02-21 Canon Kabushiki Kaisha Device for forming a deposited film
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4836558A (en) 1987-10-20 1989-06-06 Raychem Corporation Bulkhead seal
JPH0387372A (ja) * 1988-07-22 1991-04-12 Canon Inc 堆積膜形成方法
US5055169A (en) 1989-03-17 1991-10-08 The United States Of America As Represented By The Secretary Of The Army Method of making mixed metal oxide coated substrates
JP2767284B2 (ja) * 1989-06-06 1998-06-18 日立電子エンジニアリング株式会社 液状半導体形成材料気化供給装置
JPH0784662B2 (ja) 1989-12-12 1995-09-13 アプライドマテリアルズジャパン株式会社 化学的気相成長方法とその装置
EP1069610A2 (en) 1990-01-08 2001-01-17 Lsi Logic Corporation Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5112442A (en) 1990-09-27 1992-05-12 United Technologies Corporation Liquid vaporizing process for manufacturing iron oxide
JPH04272616A (ja) * 1991-02-27 1992-09-29 Aisin Seiki Co Ltd 超電導線材及びその製造方法
JPH04346035A (ja) * 1991-05-24 1992-12-01 Tokyo Electron Ltd 処理液供給装置
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
JPH076958A (ja) * 1992-03-31 1995-01-10 Clarion Co Ltd Si基板上へのGaAs膜の形成方法
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5376409B1 (en) 1992-12-21 1997-06-03 Univ New York State Res Found Process and apparatus for the use of solid precursor sources in liquid form for vapor deposition of materials
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5853678A (en) * 1993-03-17 1998-12-29 Nipon Sanso Corporation Method for removing hydrides, alkoxides and alkylates out of a gas using cupric hydroxide
JP3118493B2 (ja) * 1993-04-27 2000-12-18 菱電セミコンダクタシステムエンジニアリング株式会社 液体原料用cvd装置
JPH06333867A (ja) * 1993-05-18 1994-12-02 Kokusai Electric Co Ltd ガス導入管付反応器
US5400603A (en) 1993-06-14 1995-03-28 International Business Machines Corporation Heat exchanger
US5441107A (en) 1993-06-21 1995-08-15 Biomagnetic Technologies, Inc. Solid conductor thermal feedthrough
EP0636704B1 (en) 1993-07-30 1999-11-03 Applied Materials, Inc. Silicon nitride deposition
JPH0794426A (ja) * 1993-09-24 1995-04-07 Ryoden Semiconductor Syst Eng Kk Cvd装置
US5660201A (en) 1993-12-21 1997-08-26 Lockheed Martin Idaho Technologies Company Multiple source/multiple target fluid transfer apparatus
US5630878A (en) 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
JP3390517B2 (ja) * 1994-03-28 2003-03-24 三菱電機株式会社 液体原料用cvd装置
JPH07310185A (ja) * 1994-05-12 1995-11-28 Hitachi Ltd Cvdガス供給装置
US5527567A (en) 1994-09-02 1996-06-18 Ceram Incorporated Metalorganic chemical vapor deposition of layered structure oxides
US5614247A (en) 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5553188A (en) * 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
EP0738788B1 (en) 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3534940B2 (ja) * 1995-04-20 2004-06-07 株式会社荏原製作所 薄膜気相成長装置
US5840254A (en) 1995-06-02 1998-11-24 Cdc Technologies, Inc. Apparatus for mixing fluids for analysis
US5534068A (en) 1995-10-27 1996-07-09 Specialty Coating Systems, Inc. Parylene deposition apparatus including a tapered deposition chamber and dual vacuum outlet pumping arrangement
JPH09157832A (ja) * 1995-11-30 1997-06-17 Sony Corp 防着板およびそれを用いた真空装置
JPH1089532A (ja) 1995-12-13 1998-04-10 Rintetsuku:Kk 気化装置の弁構造
JPH09235675A (ja) * 1995-12-28 1997-09-09 Ebara Corp 液体原料気化装置
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5725675A (en) 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5900060A (en) 1996-07-03 1999-05-04 Cermet, Inc. Pressurized skull crucible apparatus for crystal growth and related system and methods
US5835678A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5882416A (en) * 1997-06-19 1999-03-16 Advanced Technology Materials, Inc. Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
JPH08325736A (ja) * 1995-05-29 1996-12-10 Ebara Corp 薄膜気相成長装置

Also Published As

Publication number Publication date
US6258170B1 (en) 2001-07-10
JP2010062575A (ja) 2010-03-18
KR20010023887A (ko) 2001-03-26
US6077562A (en) 2000-06-20
US6165271A (en) 2000-12-26
JP4901000B2 (ja) 2012-03-21
US6096134A (en) 2000-08-01
US6066209A (en) 2000-05-23
WO1999013545A2 (en) 1999-03-18
JP2001516960A (ja) 2001-10-02
JP5760017B2 (ja) 2015-08-05
WO1999013545A3 (en) 1999-06-24
EP1018199A2 (en) 2000-07-12
US6082714A (en) 2000-07-04
JP5473524B2 (ja) 2014-04-16
US6123773A (en) 2000-09-26
TW576872B (en) 2004-02-21
US6063199A (en) 2000-05-16
US6056823A (en) 2000-05-02
US6099651A (en) 2000-08-08

Similar Documents

Publication Publication Date Title
JP5760017B2 (ja) 気化・堆積装置
US6527865B1 (en) Temperature controlled gas feedthrough
KR100746433B1 (ko) 고온 필터
JP4480274B2 (ja) 化学気相堆積気化装置
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
US20020015855A1 (en) System and method for depositing high dielectric constant materials and compatible conductive materials
JP4964142B2 (ja) 高密度プラズマ適用のための高真空中での自己冷却ガス分配装置
KR100573666B1 (ko) 박막증착장치
KR100279487B1 (ko) 화학증착법을 이용한 처리 챔버
KR20050046797A (ko) 고유전상수 박막의 증착장치
KR20160028360A (ko) 샤워헤드 능동 가열 및/또는 페데스탈 냉각에 의한 저온 ald 시스템들에서의 안정된 증착 레이트 제어를 위한 방법들 및 장치들
US9157151B2 (en) Elimination of first wafer effect for PECVD films

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140415

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140710

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150303

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150310

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150512

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150608

R150 Certificate of patent or registration of utility model

Ref document number: 5760017

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term