JP4480274B2 - 化学気相堆積気化装置 - Google Patents

化学気相堆積気化装置 Download PDF

Info

Publication number
JP4480274B2
JP4480274B2 JP2000561376A JP2000561376A JP4480274B2 JP 4480274 B2 JP4480274 B2 JP 4480274B2 JP 2000561376 A JP2000561376 A JP 2000561376A JP 2000561376 A JP2000561376 A JP 2000561376A JP 4480274 B2 JP4480274 B2 JP 4480274B2
Authority
JP
Japan
Prior art keywords
gas
main
disposed
channel
vaporizer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000561376A
Other languages
English (en)
Other versions
JP2003527739A (ja
Inventor
ジュン ツァオ,
リー リュオ,
キャオリャン ジン,
フランク チャン,
チャールズ ドーンフェスト,
ポー タン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2003527739A publication Critical patent/JP2003527739A/ja
Application granted granted Critical
Publication of JP4480274B2 publication Critical patent/JP4480274B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、液状前駆体の蒸気化用、及び適切な基板上への膜の蒸着用の装置とプロセスに関する。特に、高容量ダイナミックメモリモジュールに有用な集積回路コンデンサを作るシリコンウエハ上へのチタン酸バリウムストロンチウム(BST)膜等の金属酸化物膜の蒸着用装置とプロセスを意図するものである。
【0002】
【従来の技術】
集積回路(ICs)の集積密度が増加し、256Mbitや1GbitのDRAMsを構成するコンデンサ等の電気素子に使用される高誘電率を有する材料の必要性が高まってきている。有機金属化合物等の高誘電率材料を含むコンデンサは、通常、標準のSiO2-Si3N4-SiO2スタックコンデンサよりも非常に大きな静電容量密度を持ち、こ等の材料をIC製造時の材料として選択する。
【0003】
超大規模集積化(ULSI)DRAMs用の材料として関心が高まっている有機金属化合物の一つが静電容量が高いBSTである。BSTを蒸着するために過去に使用された蒸着技術には、RFマグネトロンスパッタリング、レーザーアブレーション、ゾルゲル処理法、及び有機金属材料の化学蒸着法(CVD)がある。
【0004】
液状源BSTのCVDプロセスは、化合物を噴霧化し、噴霧化化合物を蒸気化し、蒸気化化合物を加熱基板上に堆積し、堆積膜をアニーリング(焼き鈍し)する。このプロセスは、アンプルからの導入から蒸気化により液状体送出装置への、最終的には堆積される基板面に達する液状前駆体とガスの制御を必要とする。目標は、温度と圧力が制御された環境で均一厚みの膜を再現性良く蒸着するプロセスを得ることである。液状先駆体が繊細で、蒸着装置の設計が複雑になるので、この目標はこれまでに満足には達成されていなかった。
【0005】
【発明が解決しようとする課題】
例えば、一連の問題を解決するのに蒸発器を使うことである。液状前駆体を蒸気化する際の一つの難しさは効率が不足することである。液状前駆体の一部分のみを蒸発器内の低コンダクタンスにより蒸気化し、それによって、蒸着速度が抑制され、一貫して再現性のないプロセスが生じる。さらに、CVDプロセスに使用された周知の蒸発器は使用中に結果的に閉塞を生じ、安定化される連続フロープロセス用に改造されていない狭い通路を持つ。例えば、米国特許番号5,204,314の発明の名称“CVD反応装置から蒸気状の不揮発性試薬の供給方法”はマトリックス構造によるフラッシュ蒸発器を開示する。このマトリックス構造は一般的に限定開口孔を有する加熱スクリーンメッシュを備える。用途を広げると、マトリックス構造は液状前駆体の蒸気化効率を低下させ、プロセスの再現性と蒸着速度にとって負の影響をもたらす堆積物を蓄積する。
【0006】
別の難しさは、BST液状前駆体は高温で分解し、低温で凝縮するので、蒸気化の範囲が狭いことである。周知の蒸発器は表面を温度制御し、蒸発器に注入する前に低温に液状前駆体を維持する機能に欠けている。この結果、注入ラインと蒸発器内に材料を堆積させ、前駆体を早過ぎて凝縮したり、不要な分解を引起す。こ等の堆積物は蒸発器のみでなく、正排気ポンプ等の上流側の構成部分にも悪影響を及ぼす。何故ならば、このポンプはその圧力シールを破壊するか、ポンプの圧力安全弁が作動するまで運転を続けるからである。勿論、装置の構成部分の損傷は保守や修理を必要とし、時間外勤務は非常に費用がかかり、装置の所有者のコストを増やすことになる。さらに、蒸発器内で形成された堆積物は下流側に運ばれて他の構成部分を腐敗させ、最終的に基板面に送付され、それによってその特性を弱める。それゆえ、蒸発器を通る温度制御された流路が必要になる。
【0007】
さらに、BSTの蒸着時に遭遇する難しさは、約400〜750℃の範囲にある高い基板温度で蒸着プロセスを行い、約550〜850℃の範囲の基板温度でアニーリングプロセスを行うことである。これらの高温度条件は蒸着プロセスで使用するチャンバ(チャンバ)やその他の構成部分に要求の負担がかかる。例えば、弾性Oリングが蒸着チャンバをシールするのに用いられ、これは一般的に、多くの製造サイクル中に約100℃を超える温度に耐える材料で作られていない。シール不良はプロセス化学と装置構成部分の汚染にみでなく圧力損失をもたらすことになり、それによって、ウエハ上に欠陥膜の形成される。さらに、熱伝導から生じる蒸発器表面の温度変動を防ぐ必要がある。熱伝導による熱損失は基板表面上に温度勾配を引起し、膜厚の均一性を減少させ、さらに蒸着チャンバ内の高温環境を維持するために装置に必要な電力需要を増やすことになる。
【0008】
それゆえ、高い温度を維持し、前駆体の不要な凝縮や分解を通路に沿って阻止し、且つ、温度勾配を避けながら、前駆体を効率的に蒸気化し、蒸気化前駆体を下流側装置構成部分に送出する高コンダクタンス蒸気化装置が必要になる。高速クリーニングと連続流れ動作用に装置を改造できることが望ましい。
【0009】
【課題を解決するための手段】
本発明の一つの観点によれば、蒸発器は、蒸気化を必要とし、且つ、ガス流路面の不要な凝縮を阻止するために高い温度で輸送される必要のあるBSTや他の材料、特に蒸発器に流動体として輸送され、蒸気相に変換される低揮発性前駆体を蒸気化する。この蒸発器は、高速除去、クリーニング及び/または交換ができる構造の一連の加熱温度制御構成部分を備える。この蒸発器はさらに、ULSIのDRAMs用に有用なコンデンサ等の電気素子の製造中に発生する高温度の有害作用からシール(例えば、弾性Oリング)を保護する機能を持つことが望ましい。
【0010】
本発明はさらに、液状前駆体構成部分を一貫して混合し、効率的に蒸気化し、蒸発器とガス送出ライン内でガスの分解と凝縮を無視できる蒸着チャンバに蒸気化材料を送出するために閉塞を阻止できる高コンダクタンスの大きな平滑蒸着通路を有する蒸発装置を提供する。好ましくは、既存蒸発器に関係する汚損や閉塞の可能性を減らすように温度制御表面領域を増やすことによって、この装置は蒸気化効率を増やす。
【0011】
本発明の特徴は、メインテナンスが著しく減少・簡略化され、微粒子発生が少なく高速でCVD膜を蒸着する機能を有する一貫して高性能のコンデンサ膜の製造にある。基本的な結果は効率性と経済性を強化した製造プロセスである。
【0012】
本発明の別の観点では、主要蒸発部を有する主要本体は着脱自在の加熱素子を具備する。主要蒸発部の下にブロッカを配設する。このブロッカ内に形成した高コンダクタンスチャネルは拡張蒸発面として機能する。第一実施例では、これらのチャネルは並列関係にあり、下流側ガスラインに結合された出口に至る。第二実施例では、ブロッカは主要蒸発部内に少なくとも部分的に配設されたガスコンパクタを備える。このガスコンパクタは入口と出口にそれぞれつながる上部ポートと下部ポートを持つ。ガスチャネルはガスコンパクタと主要蒸発部との間に形成され、上下部ポート経由で入口と出口の間に流体を伝達する。随意に、蒸発器の下側端にフィルタを配設する。
【0013】
本発明の別の実施例では、蒸発器は選択的に結合された分離可能な構成部分を備える。第一実施例では、蒸発器構成部分はクランプにより結合され、また別の実施例では、構成部分はVCR(商標)取付け具により結合される。各実施例では、構成部分は検査やクリーニングのために分解容易である。
【0014】
【発明の実施の形態】
本発明の上述の特徴、長所と目的は達成され、詳細に理解されるように、本発明のより特定の説明は上記に簡単に要約したように、その実施例を参照にされ、添付図面に図示される。
【0015】
しかし、注目すべきは、添付図面は本発明の代表的実施例のみを図示するもので、したがって、その範囲の限界を考慮したものではない。本発明は他の等しく有効な実施例をも認めるものである。
【0016】
本発明は化学気相堆積(CVD)システムに用いられる気化器に関する。次の記載はBSTを参照しているが、本発明が、優れた実用性、均一な膜堆積、及び温度が制御されている表面によって生じる強化された効率という利点を要求する全てのプロセスシステムに用いられ得ることが理解されている。気化器は、ULSI DRAMsに用いられるコンデンサ及びその他多数の電気デバイスの製造に有用な酸化金属誘電体の製造のために、特別な応用を有する。一般に、本システムによって製造できるデバイスが、基板上に堆積された絶縁、誘電性或いは導電性材料の1つ以上の層を有することを特徴とするものである。
【0017】
図1及び2は、本発明を取り入れたCVDシステム10の斜視図である。システム10は、一般に、チャンバ本体12、加熱されたリッドアセンブリ14、一体化された気化器モデュール16、ゲートバルブ20とターボポンプ22と第1のコールドトラップとを含む排気/ポンピングシステム18を備える。図示のように、気化器モデュール16は、気化器キャビネット26において、チャンバ本体12の近くに取付けられ、気化器キャビネット26は、一端がチャンバ本体12内への入口に、他端が気化器100に接続している出口ライン28を含む。出口ライン28に沿って配置されているのが第1のバルブ30であり、該第1のバルブ30は、順番に、キャビネット26の背部を通して外へ延長するバイパスライン32に接続し、さらに、導管によって排気/ポンピングシステム18に接続している。上記の導管には、バルブ30の下流に位置する第2のコールドトラップが配置されている。プロセス中或いはシステム10の清浄中に、気化されたガスを供給する準備において、バイパスライン32が、気化されたガスと液体溶剤との両方をコールドトラップ34内に供給する。第1のバルブ30は、コールドトラップ34を通してチャンバ12への気化された材料の供給を制御する。アイソバルブ(isovalve)等の第2のバルブ36は、第1のバルブ30の下流に配置されて、気化されたガスを選択的にチャンバ本体12内に供給する。第2のバルブ36が、ロッド及びワッシャアセンブリ38を介して、チャンバ12の下側部分に取り付けられる。このアセンブリ38が、チャンバ12に関連するデリバリライン及びバルブ36の調整を可能にする。マウントが、一般に、イソバルブ36及び出口ライン28の回転可能調整を可能にする、それぞれ1つがもう1つの中に設置されている第1及び第2のリング40、42を含む。第2のバルブ36は、複数のロッド44(図示せず)を介して、第2のリング42に取り付けられていって、上記のロッド44は、リング42から取り付けられて、ロッド及びリングの上側部分上に配置されているスプリング46を含む。2つのリング40,42がアセンブリ38の回転を可能とし、スプリング及びロッド配置がアセンブリ38の縦調整を可能として、チャンバ12内へのガス供給ライン30の正しいアライメントを確実にする。一般に、サスペンションアセンブリ38は、熱膨張/収縮のための補償を提供して、機械的及び熱応力を有しない真空シールを維持する。システム10のサイズおよび寸法は、本発明のプロセスが行われるワークピースのサイズ及び形状によって決定される。
【0018】
図3は、液体前駆物質及びキャリアガスを気化器100に供給するための液体及びガスデリバリシステム50の簡略図を示す。第1のガス容器52及び第2のガス容器54が気化器100に接続してキャリアガスを提供する。これらのガスのファンクションが後に詳細に記載される。図示のように、液体アンプル56が気化器100に接続されて液体前駆物質を提供する。流速を監視し制御することができるように、流量計58が液体及びガスデリバリラインに配置される。高い流速を実現するために、ガスデリバリラインが、好ましく、PTFE等の低い摩擦係数を有する材料から作られる。図3に示されていないが、この業界に一般に知られ用いられる他のデバイスはバブラー、デガッサー、シャットオフバルブなどを含む。
【0019】
気化器が、理想の等温システム温度(例えば、BSTの場合、250℃±5℃)に実質的に維持される場合、堆積層の均一性が強化され且つ維持されうるは、本発明者が認識した。気化器100は、メインシールを理想の等温システム温度以下に冷却することによって、メインシールを保護するための熱制御フィーチャを含む幾つかのアクティブ(active)及びパッシブ(passive)熱制御システムを取り入れる。システム化学物質にさらされている気化器のコンポーネントにおける重大な温度変動及び勾配を含まずに、且つ余分な冷却及び加熱パワー損失を有せずに、冷却が実現される。
【0020】
図4は、本発明の気化器100の1つの実施例を示す断面図である。気化器100が、一般に、インプットマニホルド102、冷却ヘッド104、及びトップブロック108とボトムブロック110とを含む本体106を備える。インプットマニホルド102が、気化器100の上端に連結されて、入口112を提供し、入口112には、キャピラリーチューブ等の噴射部材114が配置されている。噴射部材114が、(図3に示されている)液体前駆物質アンプル56及び(図3に示されている)第1のガス容器52に接続されている。
【0021】
冷却ヘッド104とトップブロック108とは、それぞれヘッド104及びトップブロック108上に形成された当接フランジ120および122で、結合される。同様に、トップブロック108とボトムブロック110とは、それぞれ、トップブロック108及びボトムブロック110上に画成されたフランジ124及び126で、結合される。フランジ120、122、124及び126は、KFクランプ等のクランプ128を受容して、操作中に種々の気化器コンポーネントを一緒に保持するようになっている。フランジ120及び124にそれぞれ配置されているOリングシール130及び132は、ブロック108、110及び冷却ヘッド104のインターフェイスで気密シールを提供する。Oリング130,132は、例えば、ヘリコフレックス(Helicoflex)からのアルミニウムデルタシール等の多数の高温金属/金属シールのいずれかであってもよい。
【0022】
Oリング130及び流入液体前駆物質を積極的に冷却するために、1つ以上の冷却チャンネル134が、好ましく、ヘッド104内に配置される。熱交換流体(例えば、水、エチレングリコール、シリコンオイルなど)がチャンネル134を通して循環して、Oリングシール130での熱を除去する。選択的に、もう1つの冷却チャンネル(図示せず)を、Oリング132の近くに、本体106内に配置してもよい。熱伝導率を最大化にするために、冷却ヘッドが、好ましく、アルミニウムあるいは幾つかの他の熱導体から製造される。図4に示されていないが、操作温度を監視するために、気化器100の上端で、熱電対を設置してもよい。分散/キャリアガス導管135が、冷却ヘッド104内に形成されて、トップブロック108の上側部分に形成された凹部137に至る。インジェクションライン(図示せず)は、(図3に示されている)第2のガス容器54を分散/キャリアガス導管135に接続して、そこに分散/キャリアガスを提供する。冷却ヘッド104が、中央に形成された入口ボア136を提供し、ボア136の中には、噴射部材114が配置されて、ねじ付スリーブによって固定される。噴射部材114は、トップブロック108のネック142を通して縦方向に延長しネック142の下端の近くに終るガス通路140によって、同心に受容される。噴射部材114の外周のまわりに配置される同心ガス通路140は、任意な形状であってもよく、1つ以上の分散ガスを噴射部材の先端或いはノズル144に供給するようになっている。低い摩擦係数および詰まり防止のため、同心ガス通路140及び噴射部材114は、好ましく、PTFEから製造される。
【0023】
同心ガス通路140は、直径方向に比較的狭い上端部及び直径方向に拡大した下端部を有する円錐台表面として示されている気化セクション146に至る。メイン気化セクション146は、大きな、好ましくは滑らかな、加熱される表面領域を提供して、この表面領域の上に、流体が堆積されてもよい。メイン気化セクション146と並列されているブロッカ148は、延長した気化表面を提供する。ブロッカ148は、好ましく、アルミニウム或いは幾つかの他の熱導体から製造されて、複数の高伝導力チャンネル150を備える。PALLから提供されるような市販のフィルタ152は、ブロッカ148の下且つ高伝導力出口153の上に配置される。フィルタ152が、ボトムブロック110の環状ショルダ154の上に位置し、トップブロック108によって上から固定されて、2つのブロック108,110をクランプ外すことにより定期的な交換を容易にする。フィルタ152は、PALLから提供される1つのフィルタ等の任意の数の市販フィルタであることできる。この配置は、気化器100におけるより短い共鳴時間のための大きい伝導力を提供し、且つ気相流経路の点検及び清浄を容易にする。
【0024】
ブロック108,110は、好ましく、ステンレススチールから製造され、クロック108,110を囲む(カートリッジとして示されている)1つ以上の加熱素子156により生成される熱エネルギーの保持及び伝送のために、比較的大きい熱質量を提供し、それによって、気化表面上及び下流の最適等温温度を確保する。ヒータ156は、レセプタクル158内に摺動可能に収容されて、メンテナンス及びサービングのために選択的に取り外されることができる。加熱素子156は、好ましく、約1000Wと約3000Wとの間にある全加熱パワーをブロック108,110に供給し、従来のPID制御器(図示せず)によって制御されて、本体106を最適等温温度に維持する。制御器は、気化表面の近くにあるブロック108,110の少なくとも1つ、好ましくは、両方の中に置かれる熱電対(図示せず)に接続される。
【0025】
全ての気化器コンポーネントは、独特に設計されており、分解、保持、交換が容易になっている。各コンポーネントは、独立のユニットを備え、それは、別個に供給もしくは交換されてもよい。図4に示されるように、第一実施形態の気化器100は、6個の主要コンポーネント、すなわち、入力マニフォールド102、冷却ヘッド104、トップブロック108、ボトムブロック110、加熱素子156、フィルタ152、ブロッカ148から成る。上述したように、トップブロック108および冷却ヘッド104は、選択的にKFクランプに結合されている。トップブロック108は、同様に、ボトムブロック110に結合されている。そのため、定期的に交換されなければならないフィルタ152と、定期的な洗浄が必要になるかもしれないブロッカ148は、ボトムブロック110からトップブロック108を外すことにより取り外される。ブロック108,110は、KFクランプやVCR(登録商標)取付具等の他の結合アセンブリにより結合されて示されている。
【0026】
操作中、液体先駆物質は、気化器から上流で、最初にアルゴン等のキャリアガスと結合される。液体先駆物質コンポーネントと、キャリアガスとの混合物は、その後、噴射部材114(好ましくは2−20ミル内径)を介して、メイン気化セクションのちょうど上方個所へ分配される。液体とガスは、比較的に高い流速、例えば、毎分10ミリリットルの液体と100−2000sccmのガスで供給され、液体をノズル144から排出させ、メイン気化セクション146に高いノズル速度を有するガスと液体の液体噴流として入る。
【0027】
(図3に示された)流量計58は、液体先駆物質コンポーネント混合の流速と直接関係して流れるガス量を制御する為に使用できる。液体の流速は、通常、図3に示される流量計等の流体コントローラにより、制御される。当業者に分かるように、液体先駆物質の流体速度は、気化器100に入力されるキャリアガスの流れにより独立して制御してもよい。
【0028】
アルゴン等の一以上の分散/キャリアガスは、分散/キャリアガス導管135を通じて分配され、噴射部材114の周りを同心状に流れ、液滴がノズル144上に形成すること、更に、噴射部材114の外側シリンダに移動することを防止する。ノズル144のレベルで、分散/キャリアガスは、噴射部材114から外に噴射する液体先駆物質混合物をピックアップし、液体先駆物質が気化されるメイン気化セクション146に混合物を運び落とす。この初期的な「瞬間的な」気化を最適化するため、噴射部材のノズル144とメイン気化セクション146との隙間は、調節可能であることが好ましい。液滴が「フライパン上で跳ね上がる」効果を避ける為の瞬間的な気化の調整は、ガスと液体先駆物質の混合物の流速を調整することにより得られる。気化された先駆物質は、その後、ブロッカ148内に形成された、複数の高伝導力チャネル150を通じて導かれる。ブロッカ148は、第二段階気化器として作動するが、同時に、気化されなかった液体を集め、それらをフィルタ152に向ける。フィルタ152は、気化されなかった、いかなる液体をも捕捉できる。これにより、液体が気化器100を通過してチャンバ12(図1,図2,図3に示す)の中に入ることを防止する。結果として残る堆積ガスは、その後、気化器の、堆積チャンバへの分配用出口153を通過する。広口出口153は、大きい伝導力の為に設計されているので、先駆物質気化器は、容易に気化器100からチャンバ12へと運ばれる。
【0029】
液体混合物の通路の短い最終セグメント以外の全ては、冷却チャネル134、ネックにより他の上流気化器コンポーネントからの本体106の物理的分離部を備える熱絞り構造により、比較的に低い温度(BSTに対し0−80℃)に保たれている。熱絞りは、気化器100の上部を加熱素子156により発生された熱から分離し、他のシステムコンポーネントにおける著しく有害な冷却効果を誘発することなく、熱損失と冷却スポットの発生を防止する。特に、本設計は、本体106が適切な等温(例えば、BSTに対し250℃し±5℃)で維持されることを許容するものである。
【0030】
図5は、本発明の第二実施形態に係る気化器100の断面図である。気化器100は、一般的に、第一実施形態のコンポーネント、すなわち、入力用マニフォールド102、冷却用ヘッド104、本体106を含む。しかし、第二実施形態は、以下に説明する幾つかの設計上の変形例を含む。
【0031】
図5は、本体106内に形成された分散/キャリアガス導管202を示す。導管202は、本体106下方から、その周辺部に沿って伸び、その後、噴射用ノズル144後部の通路内で終結する。急速分離取付け具206により導管202に連結された噴射用ライン204は、分散/キャリアガスを、第二ガス容器54(図3に示す)から導管202に分配する。噴射用部材114は、ブローカー、又はガスコンパクタを囲むメイン気化セクション146に対する入口で終わる。ガスコンパクタ208は、上端部で入口210、下端部で出口を有する実質的に細長いシリンダである。出口210は、ノズル144を受容し、入口の壁に形成された複数の排気用ポート214を備える。同様に、出口212は、複数の取り入れ用ポート216を有する。ガスコンパクタ208の出口径は、メイン気化セクション146の径より僅かに(数ミリメートル)小さく、流体チャネル218は、排気用ポート214から取り入れ用ポートに導き、それらの間を連通させるように形成されている。
【0032】
ステンレス鋼の一体物で形成されているのが好ましい本体106は、加熱ジャケット220により発生される熱エネルギの保持および伝達の為の比較的大きな熱量を提供し、高い比熱容量を有し、もって、下流と同様、気化表面上の最適等温を保証する。加熱ジャケット220は、端部でスクリュー(図3に示す)で固定されたC−クランプ形式になっており、本体106の外部で支持され、加熱ジャケット220の簡単な取り外しを許容する。加熱ジャケット220は、電気的に加熱(抵抗加熱)または流体的に加熱されてもよく、これらの適用例の通常の大きさ、流速に対し、約1000Wから3000Wの全加熱パワーを本体106に分配するのが好ましい。図4に使用されたようなカートリッジも使用できる。加熱ジャケット220は、メイン気化セクション146を最適等温で従来のPIDコントローラ(図示せず)により維持する為に制御される。図5には示されていないが、第二実施形態の気化器100も、噴射用部材114のすぐ近くの本体106内に配置されるのが好ましい熱電対を備え、操作中、温度をモニタする。追加の熱電対(図示せず)は、一部が入力、一部が冷却用ヘッド104内に配置されたスロット222により受容されてもよい。
【0033】
熱放射用シールド224は、気化器100の中間部付近に円周に配置されて示されている。少なくとも本体106は、シールド224内に包囲されているのが好ましい。冷却用ヘッド104も包囲されているのが、最も好ましい。シールド224は、本体と直接、接触せず、エアポケットが本体106の周りに形成されているのが好ましい。シールド224は、ステンレス鋼のように高い断熱性を有する金属であるのが好ましい。ステンレス鋼等の断熱材で構成されているのが好ましい金属部材226は、ヘッド104と本体106との間に水平に介在され、熱絞りとして機能する。
【0034】
図5で示されるように、第二実施形態の気化器100は、6個の主要コンポーネント、すなわち、入力用マニフォールド102、冷却用ヘッド104、本体106、加熱ジャケット220、ガスコンパクタ208、シールド224から成る。互いに連結、取外しの容易化を許容するために、コンポーネントは、VCR(登録商標)取付け具で装備されている。しかし、例えば第一実施形態で使用されたKFクランプ等の、他の結合装置を使用してもよい。
【0035】
第二実施形態の気化器100の操作は、幾つかの例外を除き、上述した第一実施形態と実質的に同一である。第二実施例形態において、分散/キャリアガス導管202は、本体106内に、少なくとも部分的に加熱ジャケット220付近に配置されて示されている。これにより、分散/キャリアガスは、通路への噴射前に加熱される。さらに、噴射部材114は、ネック142の下方に伸び、ガスコンパクタの入口210の上方で通路140の端部で終了し、これが図5に示されている。これにより、液体先駆物質は、加熱ジャケット220により発生され本体106により伝達された熱の為に高温に達する。先駆物質は、その後、矢印で表示されたようにガスコンパクタ208を通じて、その周囲にそれらが導かれる入口210内に分配される。気化ガスは、その後、出口212を通って気化器100を出て、下流の堆積チャンバ12に分配される。
【0036】
取入れ用ポート216(3個が図示)は、排気用ポート214(5個が図示)より小さいことが好ましく、ポート214の全有効断面積は、その流量速度が実質的に等しくなるように実質的に等しくなっている。そのため、連続の式により、A11=A22 になる(ここでA1は排気用ポート214の全断面積、V1は排気用ポートを通る流体の速度、A2は、取入れ口用ポート216の全断面積、V2は取入れ口用ポート216を通る流体の速度である。)。ベルヌイの式は、それから、ガスコンパクタ208の各端部圧力に対し解ける。所望の圧力は、(重力の影響を補償するために)気化器100の向きを操作し、ガスコンパクタ208の長さを変更し、更に、ポート214とポート216の断面積間の相対的な大きさの違いを変えることにより、達成することができる。高精度の寸法も、使用される流体の種類、メイン気化セクション146、ガスコンパクタ208により与えられた表面摩擦に依存するであろう。流体を下流にバイアスをかける僅かな圧力差が、最も好ましい。
【0037】
第一の実施例では、本体106の他の上流気化器部品からの物理的な分離及び冷却チャネル134、を含む熱的チョーク構造物によって、液体混合物経路の最後の短いセグメントを除く全部が比較的低温(例えば、BSTに対しては0℃〜80℃)に維持される。第二の実施例においてもまた、熱伝導を更に防ぐことによって、気化の前に液体前駆物質成分の熱分解を減少させるよう、金属膜226が利用される。噴射部材114を追加的に熱絶縁することは、ネック142の比較的薄い(例えば、数ミリ)壁及び材料の熱的絶縁値によって供給される。本体106の肝要部分を形成するネック142は、ステンレス鋼、PTFE、又は比較的低い熱伝導度を有するその他の材料で作られていることが好ましい。
【0038】
特定考案の特徴部については、第二の実施形態に関してのみ示されている。例えば、シールド224、熱せられた分散/キャリアガス導管202、及び加熱ジャケット220であり、これらの特徴部は、第一の実施形態の気化器100によって利用されてもよい。同様に、加熱カートリッジ等の第一の実施形態の特徴部を、第二の実施形態の利益となるように使ってもよい。さらに、どちらの実施形態においても、本発明の範囲を離れることなく、噴射部材114等の部品に対して調節を施すことができる。
【0039】
気化器100は、均一に熱せられた表面の大きな領域に混合物を晒すように増大した表面領域を持つ気化器の主なセクション146を供給することによって、BST等と前駆体成分キャリアガスとの混合物を気化するために機能する。主な気化セクション146、第一の実施の形態のブロッカ148、第二の実施形態のガスコンパクタ208等の気化器100の種々の部品の各々は、前駆体成分、キャリアガス、及び分散ガスを勢いよく混合し且つ気化するために働く。この構成は、気化器100において短い共鳴時間の間、大きな伝導度を供給する。最大化された表面領域は、詰まりを防ぐと同様により効率よく気化させるために役立つ。
【0040】
気化を完了させるために必要とされる電力量は、前駆体成分とキャリアガス、及び混合物のフローレートの化学的性質の関数である。一例として、0.10ml/minのBSTフローレートと200−300sccmのキャリアガス(例えばAr)フローレートを使うと、フローを加熱し完全に気化させるのに必要な電力量は約10Wである。従来の構成と比較すると、混合物に供給される加熱(例えば気化)力の量は、完全な気化を達成させるために実際に必要とされる加熱力のレベルよりも実質的に大きく設定されている。本発明に従えば、気化器100に伝達された熱的電力は、混合物の完全な気化に必要とされる10Wよりも10倍又は100倍大きく約100Wと1000Wとの間に設定されており、20〜30倍大きい200−300Wと2000−3000Wとの間であることが好ましい。こ等の場合、流動している混合物によって吸収される加熱力は、利用可能な加熱力のわずかな部分である。従って、気化ガスによって吸収される加熱力は、利用可能な加熱力との関係では重要でないゆらぎを表し、加熱する表面を理想的等温温度(例えば、BSTについては250℃±5℃)に実質的に維持させることができる。一般に、等温システムの理想的温度は、使われる前駆体成分の混合物に依存し約200−300℃の範囲である。
【0041】
システムの応用
例1
本発明のシステムを使って堆積することができる例示的な金属−酸化層には、タンタル五酸化物(Ta25)、ジルコン酸塩チタン酸塩(ZrxYiyOz)、ストロンチウムチタン酸塩(SrTiO3)、バリウムストロンチウムチタン酸塩(BST)、鉛ジルコン酸塩チタン酸塩(PZT)、ランタンがドープされたPZT、ビスマスチタン酸塩(Bi4Ti312)、バリウムチタン酸塩(BaTiO3)、又は同等のものが含まれる。堆積することができる他の材料には、凝縮と分解の間で狭い気化範囲を有する材料がある。
【0042】
例2
本発明は、主にBST等の金属酸化物層を参考にして記載されているが、広い伝導経路にわたっての等温気化の利益を必要とする他の処理のために実行されてもよい。例えば、銅の堆積は、半導体産業における特定の関心の一分野である。
【0043】
Cu層を堆積は、いかなる既知のCVDCu処理、又はcopper+2(hfac)2及びCu+2(fod)2(fodはheptafluoro diethyl octanedieneの略である)を含む前駆体ガスを使ってもすることはできるが、好ましい処理においては、アルゴンをキャリアガスとし、揮発性液体混合物であるcopper+1hfac、TMVS(hfacは、hexafluoro acetylacetonate anionの略であり、TMVSは、trimethylvinylsilaneの略である)が使われる。こ等の混合物(copper+2(hfac)2)の一つは、Cupra SelectTMであり、シューマッカー社の登録商標である。この複合体は、大気下(例えば、60℃以上)では液体であるので、半導体製造において現在使われている標準的なCVS前駆体デリバリーシステムにおいて利用することができる。TMVS及びfhacは、接着、核形成、及び安定化を増強するために使われる添加剤である。特に、TMVSは、熱的安定化剤であり、望ましい温度に到達するまで反応を防止させものである一方、fhacは、分解を制御する化合物である。TMVS及びcopper+2(hfac)2の両方が、分解反応の揮発性副産物でありチャンバから排気される。堆積反応は、次のメカニズムにしたがって起こると考えられている。このメカニズムにおいて、(s)は、表面との相互作用を示し、(g)は、ガス相を示す。
2Cu+1hfac,TMVS(g) ‐‐→ 2Cu+1hfac,TMVS(s) ステップ(1)
2Cu+1hfac,TMVS(s) ‐‐→ 2Cu+1hfac(s) + 2TMVS(g) ステップ(2)
2Cu+1hfac(s) ‐‐→ Cu(s) + Cu+2(hfac)2(g) ステップ(3)
【0044】
ステップ1においては、ガス相から金属表面上に複合体が吸着する。ステップ2においては、配位したオレフィン(この特定の場合はTMVS)が、複合体からフリーガスとして分解し、Cu+1hfacを不安定な化合物として残す。ステップ3においては、Cu+1hfacが不均化し銅金属と揮発性のCu+2(hfac)2を産生する。CVD温度における不均化は、金属表面又は電気的に導伝性の表面によって一番強力に促進されると思われる。代替反応においては、酸化金属銅複合体を水素によって還元し、金属銅を産生することができる。
【0045】
揮発性の液体複合体(Cu+1hfac、TMVS)を使い熱的又はプラズマ処理を通してCuを堆積することができ、とりわけ、熱による処理が好ましい。プラズマ増強処理のための基板の温度は、約100℃と約400℃との間であることが好ましく、熱的処理のための温度は、約50℃と約300℃との間であることが好ましく、約170℃であることが特に好ましい。銅堆積のための気化器温度は、50℃と85℃の間であることが好ましく、65℃であることが特に好ましい。
【0046】
前記の記載は、本発明の好ましい実施の形態に対してされているが、本発明の基礎的範囲を離れることなく本発明の他の更なる実施の形態を考案することができる。本発明の範囲は請求項によって決定されるものである。
【図面の簡単な説明】
【図1】図1は、本発明のチャンバ装置の透視図である。
【図2】図2は、チャンバと蒸発器モジュールの透視図である。
【図3】図3は、液状体とガス送出装置の簡略概要図である。
【図4】図4は、本発明の蒸発器の部分概略断面図である。
【図5】図5は、蒸発器の別の実施例の部分概略断面図である。
【符号の説明】
100…気化器、102…流入マニホルド、104…冷却ヘッド、106…本体、108…トップブロック、110…ボトムブロック。

Claims (42)

  1. 1つ以上の液体を気化する装置であって、
    a)主気化部を形成し、注入チャンネルおよび排出チャンネルを備えた本体と、
    b)前記1つ以上の液体を前記主気化部に供給する液体注入部材と、
    c)前記主気化部と前記排出チャンネルとの間に配置されたブロッカであって、該ブロッカを通して形成された複数の高コンダクタンスチャンネルを備える該ブロッカと、
    d)前記主気化部と熱的に連通している1つ以上の加熱部材と
    を備える装置。
  2. 前記液体注入部材が、液体および気体供給装置に取り付けられる請求項1に記載の装置。
  3. 前記液体注入部材の高さが、調節可能である請求項1に記載の装置。
  4. 前記本体が、ステンレスチールを備える請求項1に記載の装置。
  5. 前記主気化部が、円錐台面である請求項1に記載の装置。
  6. 更に、前記主気化部と前記排出チャンネルとの間に配置された取り外し可能なフィルタを設けた請求項1に記載の装置。
  7. 更に、前記本体の回りに配置された取り外し可能なシールドを設けた請求項1に記載の装置。
  8. 更に、a)前記本体に配置された気体通路であって、その中に前記液体注入部材の少なくとも一部分が配置され、前記主気化部に流体的に連通している気体通路と、
    b)気体を前記液体注入部材に供給するために前記液体注入部材の下端上の位置であって前記気体通路に接続して終わっている気体導管と
    を備える請求項1に記載の装置。
  9. 1つ以上の液体を気化する装置であって、
    a)主気化部を形成し、注入チャンネルおよび排出チャンネルを備えた本体と、
    b)前記1つ以上の液体を前記主気化部に供給する液体注入部材と、
    c)前記主気化部内に少なくとも一部分を配置されている気体コンパクタであって、a)第1の複数のポートを形成された注入口と、b)第2の複数のポートを形成された排出口と、を有する該気体コンパクタと、
    d)前記主気化部と熱的に連通している1つ以上の加熱部材と
    を備える装置。
  10. 更に、前記主気化部および前記気体コンパクタにより形成されて前記第1の複数のポートおよび前記第2の複数のポート間に流体的に連通している気体チャンネルを具備する請求項に記載の装置。
  11. 更に、前記本体の上端に選択的に取り付けられる冷却ヘッドを設け、前記冷却ヘッドが、環状の冷却チャンネルを備える請求項1に記載の装置。
  12. 更に、
    a)前記本体に配置された気体通路であって、その中に前記液体注入部材の少なくとも一部分が配置され、前記主気化部に流体的に連通している気体通路と、
    b)前記冷却ヘッドに配置された気体導管であって、気体を前記液体注入部材に供給するために前記液体注入部材の下端上の位置であって前記気体通路に接続して終わっている気体導管と
    を備える請求項11に記載の装置。
  13. 前記本体が更に、
    a)前記主気化部を形成された上方ブロックと、
    b)前記排出チャンネルを形成されるとともに前記上方ブロックの下側に選択的に取り付けられる底部ブロックと
    を備える請求項1に記載の装置。
  14. 更に、前記上部ブロックと前記底部ブロックとの間に配置されたシールを具備する請求項13に記載の装置。
  15. 前記上部ブロックと前記底部ブロックが、熱的な絶縁体を備える請求項13に記載の装置。
  16. 前記熱的な絶縁体が、ステンレススチールである請求項13に記載の装置。
  17. 前記上部ブロックと前記底部ブロックが、選択的に一体的に接続される請求項13に記載の装置。
  18. 前記上部ブロックと前記底部ブロックが、クランプにより選択的に一体的に取り付けられる請求項17に記載の装置。
  19. 前記上部ブロックと前記底部ブロックが、VCR嵌合によって選択的に一体的に取り付けられる請求項17に記載の装置。
  20. 更に、前記上部ブロックの上端に選択的に取り付けられる冷却ヘッドを設け、前記冷却ヘッドが、環状の冷却チャンネルを備える請求項13に記載の装置。
  21. 前記上部ブロックと前記底部ブロックが、ステンレススチールにより構成されるとともに前記冷却ヘッドが、アルミニウムにより構成される請求項20に記載の装置。
  22. 更に、前記冷却ヘッドと前記上部ブロックとの間に配置されるシールを具備する請求項20に記載の装置。
  23. 更に、
    a)前記上部ブロックに配置された気体通路であって、その中に前記液体注入部材の少なくとも一部分が配置され、前記主気化部に流体的に連通している気体通路と、
    b)前記冷却ヘッドに形成された気体導管であって、気体を前記液体注入部材に供給するために前記液体注入部材の下端上の位置であって前記気体通路に接続して終わっている気体導管と
    を備える請求項20に記載の装置。
  24. 1つ以上の液体を気化する装置であって、
    a)注入チャンネルを備えた入力マニフォールドと、
    b)前記入力マニフォールドの下端に着脱自在に取り付けられ前記注入チャンネルに整合する注入孔を備えた冷却ヘッドと、
    c)前記冷却ヘッドの下端に接続された本体であって、
    i)該本体に形成された気体通路および主気化部であって、前記気体通路、前記主気化部、および、前記注入チャンネルが、流体的に連通している該気体通路及び該主気化部と、
    ii)前記主気化部付近に配置されたブロッカであって、該ブロッカを通して形成された複数の高コンダクタンスチャンネルを備える該ブロッカと、
    iii)排出口と
    を備える本体と、
    d)前記主気化部に熱的に連通する1つ以上の加熱部材と
    を備える装置。
  25. 1つ以上の液体を気化する装置であって、
    a)注入チャンネルを備えた入力マニフォールドと、
    b)前記入力マニフォールドの下端に着脱自在に取り付けられ前記注入チャンネルに整合する注入孔を備えた冷却ヘッドと、
    c)前記冷却ヘッドの下端に接続された本体であって、該本体に形成された気体通路、主気化部、及び出口を有し、前記気体通路、前記主気化部、及び前記注入チャンネルが、流体的に連通しており、前記主気化部内に少なくとも一部分を配置された気体コンパクタを有しており、該気体コンパクタが、a)第1の複数のポートを形成された注入口と、b)第2の複数のポートを形成された排出口とを備える、該本体と、
    d)前記主気化部に熱的に連通する1つ以上の加熱部材と、
    を備える装置。
  26. 更に、前記主気化部と前記気体コンパクタとの間に形成され、前記第1の複数のポートと前記第2の複数のポートとの間を液体的な流通状態にする気体チャンネルを具備する請求項25に記載の装置。
  27. 更に、前記主気化部と前記排出口との間に配置された着脱自在のフィルタを具備する請求項24に記載の装置。
  28. 前記1つ以上の加熱部材が、前記本体の回りに着脱自在に配置されている請求項24に記載の装置。
  29. 更に、前記注入チャンネルに配置されるとともに前記気体通路内に少なくとも一部分を配置した注入部材を具備する請求項24に記載の装置。
  30. 更に、前記注入部材に接続された液体および気体供給装置を具備する請求項29に記載の装置。
  31. 前記注入部材の高さが調節可能である請求項29に記載の装置。
  32. 更に、前記冷却ヘッド内に少なくとも一部分を配置するとともに前記注入部材の下端上の位置であって前記気体通路に接続して終わっている気体導管を具備する請求項29に記載の装置。
  33. 前記気体導管が、前記本体内に配置されている請求項32に記載の装置。
  34. 半導体処理装置であって、
    a)処理チャンバと、
    b)前記処理チャンバの上流に配置され、上側において入力マニフォールドに着脱自在に取り付けられ下側において本体に着脱自在に取り付けられた冷却ヘッドを有する気化装置であって、前記本体が、
    i)主気化部と、
    ii)前記主気化部に流体的に連通された気体通路と、
    iii)前記気体通路内に少なくとも一部分を配置された注入部材と、
    iv)前記主気化部と前記処理チャンバに流体的に連通された排出チャンネルとの間に配置されたブロッカであって、該ブロッカを通して形成された複数の高コンダクタンスチャンネルを備える、該ブロッカと、
    を備える、該気化装置と、
    c)前記気体通路に接続された液体供給装置と
    を備える半導体処理装置。
  35. 更に、前記主気化部と前記排出チャンネルとの間に配置された取り外し可能なフィルタを具備する請求項34に記載の装置。
  36. 前記注入部材の一部分が、前記入力マニフォールドおよび前記冷却ヘッドを貫通している請求項34に記載の装置。
  37. 前記注入部材の高さが調節可能である請求項34に記載の装置。
  38. 更に、前記冷却ヘッドに形成されるとともに前記注入部材の下端上における点であって前記気体通路に接続して終わっている気体導管を具備する請求項34に記載の装置。
  39. 前記気体導管が、前記本体内に形成されている請求項38に記載の装置。
  40. 更に、前記本体の回りに配置された熱線シ−ルドを具備する請求項34に記載の装置。
  41. 半導体処理装置であって、
    a)処理チャンバと、
    b)前記処理チャンバの上流に配置され、上側において入力マニフォールドに着脱自在に取り付けられ下側において本体に着脱自在に取り付けられた冷却ヘッドを有する気化装置であって、前記本体が、
    i)主気化部と、
    ii)前記主気化部に流体的に連通された気体通路と、
    iii)前記気体通路内に少なくとも一部分を配置された注入部材と、
    iv)前記主気化部内に少なくとも一部分を配置された気体コンパクタであって、a)第1の複数のポートを形成された注入口と、b)第2の複数のポートを形成された排出口と、を有する該気体コンパクタと、
    v)前記処理チャンバに流体的に連通された排出チャンネルと、
    を有する該気化装置と、
    c)前記気体通路に接続された液体供給装置と、
    を備える半導体処理装置。
  42. 前記第1の複数のポートおよび前記第2の複数のポートの間を流体的に接続するように前記主気化部と前記気体コンパクタとの間に形成された気体チャンネルを更に備える請求項41に記載の装置。
JP2000561376A 1998-07-21 1999-07-20 化学気相堆積気化装置 Expired - Fee Related JP4480274B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US9356398P 1998-07-21 1998-07-21
US60/093,563 1998-07-21
US09/352,629 US6210485B1 (en) 1998-07-21 1999-07-13 Chemical vapor deposition vaporizer
US09/352,629 1999-07-13
PCT/US1999/016396 WO2000005430A1 (en) 1998-07-21 1999-07-20 Chemical vapor deposition vaporizer

Publications (2)

Publication Number Publication Date
JP2003527739A JP2003527739A (ja) 2003-09-16
JP4480274B2 true JP4480274B2 (ja) 2010-06-16

Family

ID=22239632

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000561376A Expired - Fee Related JP4480274B2 (ja) 1998-07-21 1999-07-20 化学気相堆積気化装置

Country Status (5)

Country Link
US (1) US6210485B1 (ja)
EP (1) EP1102871A1 (ja)
JP (1) JP4480274B2 (ja)
KR (1) KR20010053597A (ja)
WO (1) WO2000005430A1 (ja)

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3470055B2 (ja) * 1999-01-22 2003-11-25 株式会社渡邊商行 Mocvd用気化器及び原料溶液の気化方法
JP3823591B2 (ja) * 1999-03-25 2006-09-20 三菱電機株式会社 Cvd原料用気化装置およびこれを用いたcvd装置
JP2000345345A (ja) * 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
DE19932630C2 (de) * 1999-07-13 2003-12-04 Perkin Elmer Bodenseewerk Zwei Einheit für eine Plasma-Atomisierungsvorrichtung mit Plasma-Gaszuführeinrichtung, Probenzerstäubereinrichtung und Probeninjektionseinrichtung
KR100649852B1 (ko) * 1999-09-09 2006-11-24 동경 엘렉트론 주식회사 기화기 및 이것을 이용한 반도체 제조 시스템
FR2800754B1 (fr) * 1999-11-08 2003-05-09 Joint Industrial Processors For Electronics Dispositif evaporateur d'une installation de depot chimique en phase vapeur
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
KR100322411B1 (ko) * 2000-01-29 2002-03-18 손명호 액체원료 기화장치
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US7163197B2 (en) * 2000-09-26 2007-01-16 Shimadzu Corporation Liquid substance supply device for vaporizing system, vaporizer, and vaporization performance appraisal method
KR100756627B1 (ko) * 2000-11-08 2007-09-07 주성엔지니어링(주) 기화기
JPWO2002058141A1 (ja) * 2001-01-18 2004-05-27 株式会社渡邊商行 気化器及びそれを用いた各種装置並びに気化方法
WO2002061170A1 (en) * 2001-01-31 2002-08-08 Pall Corporation Purification systems, methods and devices
AU2002346665A1 (en) * 2001-12-04 2003-06-17 Primaxx, Inc. Chemical vapor deposition vaporizer
KR100468244B1 (ko) * 2001-12-26 2005-01-27 주성엔지니어링(주) 분리형 기화기
KR100474970B1 (ko) * 2002-07-18 2005-03-10 주식회사 아이피에스 박막증착장치용 기화기
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
CN1679136A (zh) * 2002-08-30 2005-10-05 艾克塞利斯技术公司 微波等离子体发生器的气体管端盖
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP4185015B2 (ja) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
CN101255551B (zh) * 2003-05-12 2010-12-01 东京毅力科创株式会社 气化器和半导体处理装置
JP2005072195A (ja) * 2003-08-22 2005-03-17 Watanabe Shoko:Kk 気化器用分散器、この気化器用分散器を用いたmocvd用気化器、及びキャリアガスの気化方法
US20050147749A1 (en) * 2004-01-05 2005-07-07 Msp Corporation High-performance vaporizer for liquid-precursor and multi-liquid-precursor vaporization in semiconductor thin film deposition
WO2005112588A2 (en) 2004-05-13 2005-12-01 Caldera Engineering, Llc Controlled dispersion multi-phase nozzle and method of making the same
TWI281691B (en) 2004-08-23 2007-05-21 Ind Tech Res Inst Method for manufacturing a quantum-dot element
TWI278899B (en) * 2004-08-23 2007-04-11 Ind Tech Res Inst Apparatus for manufacturing a quantum-dot element
JP4601535B2 (ja) * 2005-09-09 2010-12-22 株式会社リンテック 低温度で液体原料を気化させることのできる気化器
FI121430B (fi) * 2006-04-28 2010-11-15 Beneq Oy Kuuma lähde
JP4973071B2 (ja) * 2006-08-31 2012-07-11 東京エレクトロン株式会社 成膜装置
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
DE112008000803T5 (de) * 2007-03-30 2010-04-08 Tokyo Electron Limited Abscheidungsquelleneinheit, Abscheidungsvorrichtung und Temperatursteuereinrichtung einer Abscheidungsquelleneinheit
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
US8297223B2 (en) * 2007-10-02 2012-10-30 Msp Corporation Method and apparatus for particle filtration and enhancing tool performance in film deposition
JP5461786B2 (ja) * 2008-04-01 2014-04-02 株式会社フジキン 気化器を備えたガス供給装置
US9464179B2 (en) 2009-04-15 2016-10-11 3M Innovative Properties Company Process and apparatus for a nanovoided article
EP2419475B1 (en) 2009-04-15 2017-01-25 3M Innovative Properties Company Process and apparatus for manufacturing a nanovoided article
US10539722B2 (en) 2009-04-15 2020-01-21 3M Innovative Properties Company Optical film
US9291752B2 (en) 2013-08-19 2016-03-22 3M Innovative Properties Company Retroreflecting optical construction
US8534849B2 (en) 2009-04-15 2013-09-17 3M Innovative Properties Company Retroreflecting optical construction
US20110151590A1 (en) * 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
KR101849889B1 (ko) 2010-04-15 2018-04-17 쓰리엠 이노베이티브 프로퍼티즈 캄파니 광학적 활성 영역 및 광학적 불활성 영역을 포함하는 재귀반사성 물품
US9791604B2 (en) 2010-04-15 2017-10-17 3M Innovative Properties Company Retroreflective articles including optically active areas and optically inactive areas
JP5986068B2 (ja) 2010-04-15 2016-09-06 スリーエム イノベイティブ プロパティズ カンパニー 光学的に活性な領域及び光学的に不活性な領域を含む再帰反射性物品
WO2011133715A1 (en) * 2010-04-21 2011-10-27 Rasirc Apparatus and method for delivery of vapor
US20120024233A1 (en) * 2010-12-22 2012-02-02 Primestar Solar, Inc. Conveyor Assembly with Releasable Drive Coupling
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104053811B (zh) 2011-11-18 2017-04-12 第一太阳能有限公司 用于材料共沉积的气相传输沉积方法及系统
KR101389011B1 (ko) * 2012-03-28 2014-04-24 주식회사 유니텍스 소스 컨테이너 및 기상 증착용 반응로
US20130302520A1 (en) * 2012-05-11 2013-11-14 Kai-An Wang Co-evaporation system comprising vapor pre-mixer
CN109972119A (zh) 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9523151B2 (en) * 2014-02-21 2016-12-20 Tokyo Electron Limited Vaporizer unit with open cell core and method of operating
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) * 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US809839A (en) 1903-07-20 1906-01-09 Gorham Lyle Olds Steam-generator.
US2490547A (en) 1943-07-06 1949-12-06 Vapor Rapid A G Method of and apparatus for evaporating liquids
US2925329A (en) 1956-11-28 1960-02-16 Garrett Corp Gas generator
US2920179A (en) 1957-12-23 1960-01-05 Harold N Shaw Stored heat steam generators
US3190262A (en) 1961-10-20 1965-06-22 Alloyd Corp Vapor deposition
US3350219A (en) 1966-07-07 1967-10-31 Stackpole Carbon Co Evaporating metal employing porous member
US4212663A (en) 1978-01-26 1980-07-15 Corning Glass Works Reactants delivery system for optical waveguide manufacturing
US4349732A (en) 1980-01-07 1982-09-14 The Singer Company Laser spatial stabilization transmission system
SE452451B (sv) * 1984-06-07 1987-11-30 Svenska Utvecklings Ab Anordning for membrandestillation
US4954371A (en) 1986-06-23 1990-09-04 Spectrum Control, Inc. Flash evaporation of monomer fluids
US4723967A (en) 1987-04-27 1988-02-09 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4738693A (en) 1987-04-27 1988-04-19 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4847469A (en) 1987-07-15 1989-07-11 The Boc Group, Inc. Controlled flow vaporizer
JP2615469B2 (ja) 1988-04-21 1997-05-28 松下電器産業株式会社 金属硫化物薄膜の製造方法
US5120703A (en) 1990-04-17 1992-06-09 Alfred University Process for preparing oxide superconducting films by radio-frequency generated aerosol-plasma deposition in atmosphere
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
DE4124018C1 (ja) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5259995A (en) 1991-10-30 1993-11-09 Liquid Carbonic Industries Corporation Vapor pressure device
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
US5376409B1 (en) 1992-12-21 1997-06-03 Univ New York State Res Found Process and apparatus for the use of solid precursor sources in liquid form for vapor deposition of materials
JPH07130662A (ja) * 1993-11-04 1995-05-19 Nec Corp 縦型減圧cvd装置
JP3417751B2 (ja) * 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5653813A (en) 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
DE69629412T2 (de) 1995-04-20 2004-06-24 Ebara Corp. Anlage zur Dampfabscheidung von Dünnschichten
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
TW322602B (ja) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
EP0814177A3 (en) * 1996-05-23 2000-08-30 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US5835678A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
JP3645682B2 (ja) * 1997-03-18 2005-05-11 三菱電機株式会社 Cu成膜用CVD装置

Also Published As

Publication number Publication date
US6210485B1 (en) 2001-04-03
WO2000005430A1 (en) 2000-02-03
JP2003527739A (ja) 2003-09-16
KR20010053597A (ko) 2001-06-25
EP1102871A1 (en) 2001-05-30

Similar Documents

Publication Publication Date Title
JP4480274B2 (ja) 化学気相堆積気化装置
US6635114B2 (en) High temperature filter for CVD apparatus
US6527865B1 (en) Temperature controlled gas feedthrough
US6077562A (en) Method for depositing barium strontium titanate
KR100328356B1 (ko) 액체 급송 장치와 액체 급송 장치용 히터 장치 및 증발기
US5835678A (en) Liquid vaporizer system and method
JP3822135B2 (ja) 気化供給装置
US5862605A (en) Vaporizer apparatus
US5835677A (en) Liquid vaporizer system and method
US20020192370A1 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
US20020015855A1 (en) System and method for depositing high dielectric constant materials and compatible conductive materials
US20030116091A1 (en) Chemical vapor deposition vaporizer
WO2000005431A1 (en) Cvd apparatus
KR20010062849A (ko) 지르콘산염 티탄산납 필름의 유기금속 화학기상증착
WO2007036997A1 (ja) 液体材料供給装置、液体材料供給装置のための制御方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060712

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091023

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20091023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100216

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100316

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130326

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130326

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130326

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140326

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees