KR100724023B1 - 반도체장치의 제조방법 - Google Patents

반도체장치의 제조방법 Download PDF

Info

Publication number
KR100724023B1
KR100724023B1 KR1020050084557A KR20050084557A KR100724023B1 KR 100724023 B1 KR100724023 B1 KR 100724023B1 KR 1020050084557 A KR1020050084557 A KR 1020050084557A KR 20050084557 A KR20050084557 A KR 20050084557A KR 100724023 B1 KR100724023 B1 KR 100724023B1
Authority
KR
South Korea
Prior art keywords
polishing
copper
film
acid
metal
Prior art date
Application number
KR1020050084557A
Other languages
English (en)
Other versions
KR20050101128A (ko
Inventor
세이이치 곤도
요시오 홈마
노리유키 사쿠마
겐이치 다케다
겐지 히노데
Original Assignee
가부시끼가이샤 히다치 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 히다치 세이사꾸쇼 filed Critical 가부시끼가이샤 히다치 세이사꾸쇼
Publication of KR20050101128A publication Critical patent/KR20050101128A/ko
Application granted granted Critical
Publication of KR100724023B1 publication Critical patent/KR100724023B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

반도체장치의 배선공정에 있어서의 연마방법에 관한 것으로서, 매립배선형성시의 디싱이나 이로전의 발생의 억제, 긁힘의 저감, 박리 등의 손상의 저감, CMP후세정의 간소화, 연마액과 연마포의 비용저감, 연마제 공급/처리설비의 간소화, 고스루풋화(고제조능률화), 저발진화의 적어도 한가지를 실현할 수 있는 연마방법이나 반도체장치의 제조방법을 제공하기 위한 것으로서, 금속막의 적어도 일부를 제거하는 연마방법에 있어서, 1중량% 미만의 연마숫돌입자를 포함하고 pH 및 산화환원전위가 금속막의 부식영역인 연마액을 사용해서 금속막표면을 기계적으로 마찰시키는 구성으로 하였다.
이렇게 하는 것에 의해, 긁힘이나 박리, 디싱, 이로전을 억제하는 효과가 있고 또한 고도의 세정프로세스나 연마제 공급/처리장치를 필요로 하지 않고 연마제나 연마포 등의 소모품의 비용을 억제하고 또한 실용적인 연마속도로 CMP를 실행하는 것이 가능하다는 효과가 얻어진다.

Description

반도체장치의 제조방법{METHOD OF FABRICATING SEMICONDUCTOR DEVICE}
도 1은 본 발명을 실시한 CMP장치를 도시한 도면,
도 2는 종래의 방법으로 CMP를 실행한 경우의 동의 연마속도와 에칭속도의 과산화수소수 농도의존성을 도시한 도면,
도 3은 본 발명의 방법으로 CMP를 실행한 경우의 동의 연마속도와 에칭속도의 과산화수소수 농도의존성을 도시한 도면,
도 4의 (a)는 CMP전의 시료의 배선부의 단면구조를 도시한 도면, 도 4의 (b)는 CMP후의 시료의 배선부의 단면구조를 도시한 도면, 도 4의 (c)는 CMP후의 시료의 평면도로서 도면 중 점선은 도 4의 (b)의 단면위치,
도 5의 (a)는 디싱을 도시한 도면, 도 5의 (b)는 이로전을 도시한 도면,
도 6은 본 발명의 효과를 도시한 도면으로서, 도 6의 (a)는 종래의 방법으로 CMP를 실행한 시료의 이로전량과 디싱량, 도 6의 (b)는 본 발명의 방법으로 CMP를 실행한 시료의 이로전량과 디싱량,
도 7의 (a)는 종래의 방법으로 CMP를 실행한 시료의 단면도, 도 7의 (b)는 본 발명의 방법으로 CMP를 실행한 시료의 단면도,
도 8의 (a)는 종래의 방법으로 CMP를 실행한 시료의 단면도, 도 8의 (b)는 본 발명의 방법으로 CMP를 실행한 시료의 단면도,
도 9는 동의 pH-산화환원전위도,
도 10은 웨이퍼상 결함수의 연마액중의 알루미나 숫돌입자 농도의존성을 도시한 도면,
도 11은 종래의 CMP프로세스를 도시한 설명도,
도 12는 본 발명의 CMP프로세스를 도시한 설명도,
도 13은 본 발명에 의해 CMP 관련비용의 저감효과를 도시한 도면,
도 14의 (a)는 종래 연마액에 의해 다층배선을 형성한 시료의 단면구조를 도시한 도면, 도 14의 (b)는 시료의 평면도로서 도면 중 점선은 도 14의 (a)의 단면위치,
도 15의 (a)는 본 발명의 연마액에 의해 다층배선을 형성한 시료의 단면구조를 도시한 도면, 도 15의 (b)는 시료의 평면도로서 도면 중 점선은 도 15의 (a)의 단면위치,
도 16의 (a)는 오버CMP에 의해 배선부가 에칭된 시료의 단면구조를 도시한 도면, 도 16의 (b)는 방식성 물질에 의해 에칭을 억제한 도면,
도 17의 (a)는 CMP전의 시료의 플러그부의 단면구조를 도시한 도면, 도 17의 (b)는 CMP후의 시료의 플러그부의 단면구조를 도시한 도면, 도 17의 (c)는 CMP후의 시료의 평면도로서 도면 중 점선은 도 17의 (b)의 단면위치,
도 18의 (a)는 본 발명의 연마액에 의해 다층배선을 형성한 시료의 단면구조를 도시한 도면, 도 18의 (b)는 시료평면도로서 도면 중 점선은 도 18의 (a)의 단면위치,
도 19의 (a)는 본 발명의 연마액에 의해 이중상감법에 의해서 다층배선을 형성한 시료의 단면구조를 도시한 도면, 도 19의 (b)는 시료의 평면도로서 도면 중 점선은 도 19의 (a)의 단면위치,
도 20의 (a)는 본 발명의 연마액에 의해서 텅스텐플러그를 형성할 때에 기초동배선이 텅스텐의 연마액의 스며듬에 의해서 부식한 상태를 도시한 도면, 도 20의 (b)는 텅스텐의 연마액에 BTA를 첨가하는 것에 의해서 부식을 방지한 상태를 도시한 도면,
도 21은 본 발명의 연마액에 의해서 기판의 확산층상에 플러그와 배선을 형성한 상태를 도시한 시료의 단면도,
도 22의 (a)는 아미노초산계의 연마액을 사용해서 CMP를 실행한 시료의 배선부의 단면도, 도 22의 (b)는 시료의 평면도로서 도면 중 점선은 도 22의 (a)의 단면위치,
도 23은 본 발명의 연마액을 사용해서 CMP장치의 토크신호강도에서 종점검출한 결과를 도시한 도면,
도 24는 본 발명의 연마액을 사용해서 광신호강도에서 종점검출한 결과를 도시한 도면,
도 25는 숫돌입자를 포함하는 연마액을 사용해서 CMP를 하였을 때에 실리콘산화막상에 발생한 긁힘의 개수의 연마하중의존성을 도시한 도면,
도 26은 동의 부식영역과 부동태영역에 있어서의 부식속도의 차이를 도시한 도면.
[부호의 설명]
11···연마정반, 12···웨이퍼홀더, 13···리테이너, 14···웨이퍼, 15···연마액 공급구, 16···순수(純水) 공급구, 17···연마포, 18···백킹패드, 21···Cu, 22···TiN, 23···1층째의 배선층부분의 SiO2막, 24···BPSG막, 25···불순물도프층이나 절연막이 형성된 Si기판, 31···2층째의 Cu배선, 32···1층째의 Cu배선의 디싱에 의해서 2층째의 절연막의 오목부에 형성된 금속막의 연마잔여물, 33···1층째의 Cu배선근방의 이로전에 의해서 2층째의 절연막의 오목부에 형성된 금속막의 연마잔여물, 34···1층째의 절연막표면의 긁힘에 의해서 2층째의 절연막의 오목부에 형성된 금속막의 연마잔여물, 35···2층째의 SiO2막, 36···1층째의 Cu배선의 디싱, 37···1층째의 Cu배선근방의 이로전, 38···1층째의 절연막표면의 긁힘, 39···2층째의 TiN, 40···플러그, 41···이중상감법에 의해서 형성된 플러그, 42···텅스텐, 43···심, 44···1층째의 동배선의 부식부분, 45···불순물도프층, 48···동이 용출하여 절연막이 노출된 부분, 49···금속막표면의 오목부, 50··· 금속막표면의 볼록부, 52···1층째의 배선층과 2층째의 배선층 사이의 관통구멍층의 절연막.
본 발명은 금속막의 연마에 관한 것으로서, 특히 반도체장치의 배선공정에 있어서의 연마방법에 관한 것이다.
근래, 반도체집적회로(이하, LSI라고 한다)의 고집적화, 고성능화에 따라서 새로운 미세가공기술이 개발되고 있다. 화학기계연마(이하, CMP라고 한다)법도 그중의 하나로서, LSI제조공정 특히 다층배선형성공정에 있어서의 층간절연막의 평탄화, 금속플러그형성, 매립배선형성에 있어서 빈번히 이용되는 기술이다. 이 기술은 예를 들면 미국특허 No.4944836에 개시되어 있다.
또, 최근에는 LSI의 고속성능화를 달성하기 위해서, 배선재료를 종래의 알루미늄합금보다 저저항의 동합금을 이용하고자 하는 것이 시도되고 있다. 그러나, 동합금은 알루미늄합금배선의 형성시에 빈번히 사용된 드라이에칭법에 의한 미세가공이 곤란하다. 그래서, 가공하여 홈이 형성된 절연막상에 동합금박막을 퇴적시키고 홈내에 매립된 부분 이외의 동합금박막을 CMP에 의해 제거하여 매립배선을 형성하는 소위 상감법(damascene method)이 주로 채용되고 있다. 이 기술은 예를 들면 일본국 특허공개공보 평성2-278822호에 개시되어 있다.
배선에 사용되는 동합금 등의 금속의 CMP에 사용되는 연마제는 고체 숫돌입자(연마용 입자)와 산화성 물질을 주성분으로 하는 것이 일반적이다. 산화성 물질의 산화작용에 의해 금속표면을 산화시키면서 고체 숫돌입자에 의해서 그 산화물을 기계적으로 제거하는 것이 기본적인 CMP의 메카니즘이다. 이에 관해서는 사이언스포럼(주)발행, 가시와기 마사히로편집 「CMP의 사이언스」1997년 8월 20일 발행의 제299페이지에 개시되어 있다.
고체 숫돌입자로서는 수1O∼수1OOnm의 입자직경을 갖는 알루미나 숫돌입자나실리카(silica)숫돌입자가 알려져 있지만, 일반적으로 시판되고 있는 금속연마용 고체 숫돌입자의 대부분은 전자이다.
산화성물질로서는 과산화수소(H2O2), 질산 제2철(Fe(NO3)3), 과옥소산칼륨 (KIO3)이 일반적으로 널리 사용되고 있고, 이들은 예를 들면 상기 「CMP의 사이언스」의 제299페이지∼제300페이지에 개시되어 있다.
그러나, 종래의 금속막연마용 고체 숫돌입자를 주성분으로서 포함하는 연마제를 사용해서 CMP에 의해 배선 및 플러그를 형성하는 경우, 이하의 [1]∼[8] 에 기재하는 바와 같은 문제가 발생하고 있다.
[1] 절연막에 형성된 홈의 내부에 매립되는 금속배선의 표면중앙부분이 주변부분보다 과잉으로 연마되어 움푹들어가는 현상(이후, 디싱(dishing)이라고 한다) 이나 배선부 주위의 절연막표면이 연마되는 현상(이후, 이로전(erosion)이라고 한다)이 발생한다(도 5의 (a), (b)).
본래, 금속막연마용 연마제의 금속/절연막의 선택비는 10이상으로 매우 높은 것이지만, 이 값은 평탄한 금속막만이 형성된 웨이퍼와 평탄한 절연막만이 형성된 웨이퍼를 사용해서 각각 별도로 CMP를 실행하여 양자의 연마속도의 비를 취해서 얻어진 것이다.
그러나, 배선패턴으로 되는 홈이 형성된 절연막상에 금속막이 퇴적된 웨이퍼 의 CMP에서는 국소적으로 과잉으로 연마되는 개소가 발생하는 것이 알려져 있다. 이것은 CMP전의 웨이퍼표면에는 배선패턴으로 되는 홈을 반영한 요철(오목볼록)이 금속막의 표면에 생기고 있고, CMP를 실행하는 경우에 패턴밀도에 따라서 국소적으로 높은 압력이 가해져 그 부분의 연마속도가 빨라지기 때문이다.
따라서, 디싱이나 이로전은 금속부분의 면적이 넓은 패드(O.l㎟정도의 면적) 나 밀집배선패턴인 경우에 현저한 문제로 된다. 이들은 "J. Electrochem. Soc." 제141권 제l0호, l994년 10월, 제2842페이지∼제2848페이지에 기재되어 있다.
[2] 연마용 고체 숫돌입자에 의해 긁힘(scratch)(연마상처)이 발생한다. 특히, 금속연마의 숫돌입자의 주재료인 알루미나는 절연막의 주재료인 산화실리콘보다 경도가 높다. 그 때문에, 배선용 금속막의 표면뿐만 아니라 CMP에 의해서 노출된 절연막의 표면에도 긁힘이 발생한다. 절연막표면의 긁힌 부분에는 연마제가 잔류하여 중금속이온오염에 의한 반도체소자의 동작불량이 생기거나, 상층배선의 가공형상에 영향을 미쳐 단락불량을 발생시키기도 한다. 또한, 금속막표면의 긁힘은 도통불량이나 전자이동 내성의 열화의 원인으로 된다.
긁힘을 방지하기 위해서 연마하중이나 정반(턴테이블)회전수를 낮게 하여 CMP를 실행하는 방법이 취해지고 있다. 그러나, 동(銅)과 같은 연질금속에 의해서는 이 방법에서도 긁힘을 방지하는 것이 곤란하다.
연질연마포를 사용하는 것에 의해 긁힘을 저감할 수 있지만, 디싱이나 이로전이 커져 CMP후의 평탄성은 열화한다. 그래서, 경질연마포에 의해 도중까지 CMP를 실행한 후, 연질연마포에 의해 마무리연마를 실행하는 2단계 CMP도 제안되어 있 다. 그러나, 이 경우 스루풋(제조능률)이 저하한다는 새로운 문제가 발생한다.
[3] CMP를 실행하면 연마숫돌입자와 금속막표면 사이에 높은 마찰력이 생기기 때문에, 금속막과 기초(下地) 절연층 사이나, 기초절연층내의 도포유리(이하, SOG라 한다)와 화학기상증착(이하, CVD라 한다) 산화막 사이에서 박리(벗겨짐)가 발생한다. 박리방지책으로서 연마하중이나 정반회전수를 낮게 하는 방법도 있지만, 완전히 방지하고자 하면 연마속도가 저하하여 연마시간이 길어지기 때문에 실용적이지 않다. 또, 연질연마포를 사용하면 해결되는 경우도 있지만, 디싱이나 이로전이 커져 CMP후의 평탄성이 열화한다.
[4] CMP 직후의 웨이퍼표면에는 연마숫돌입자가 다수 잔류하기 때문에 다음공정을 실행하기 전에 세정하고 규정값이하 (예를 들면 0.2㎛이상의 이물수가 웨이퍼당 100개 이하)까지 이물수를 제거하지 않으면 안된다. 이 때문에, 화학적인 세정뿐만 아니라 기계적인 세정을 병용하는 세정기를 필요로 하였다.
그 세정기술은 도 11에 도시한 바와 같이 매우 복잡하고 주로 약액(물약;藥液)을 병용한 솔세정과 메가소닉세정이 실행되고 있다. 솔재료는 금속막표면을 손상시키지 않는 특수한 재료가 아니면 안되고, 약액으로서는 예를 들면 수산화암모늄이나 플루오르화수소산 수용액등이 사용된다.
메가소닉세정은 800kHz 이상의 고주파진동을 세정액에 가해서 숫돌입자를 기판(基體)로부터 유리시키는 세정방법으로서, 종래의 초음파진동(40kHz)에 의한 세정방법보다 강력한 세정수단이다. 이 세정에 있어서는 숫돌입자가 기판으로부터 유리하는데 충분한 정도의 에너지 또는 힘을 가할 필요가 있다. 한편, 금속 막이나 절연막에 손상을 입히지 않는 범위로 출력을 설정해야만 한다. 이상과 같은 CMP후세정프로세스의 예로서는 예를 들면 「월간 반도체세계(Semiconductor World)」 1995년 5월호의 172페이지에 개시되어 있다.
[5] CMP에 사용하는 소모품의 비용이 높다. 이것은 연마제로 사용하는 숫돌입자의 제조비용이 높고, 입자크기를 일치시키기 위해서도 매우 주의를 필요로 하기 때문이다. 특히, 알루미나숫돌입자는 실리카숫돌입자에 비해 수배나 고가이다.
또, 연마포는 일반적으로 발포(發泡)폴리우레탄이 사용되고 있다. CMP를 실행하면 이 연마포에 연마숫돌입자가 부착하여 소위 "눈(mesh)막힘" 현상을 일으켜 연마속도가 저하한다.
이것을 방지하기 위해서 적절히 다이아몬드입자를 고착시킨 숫돌(이하, 컨디셔너라 한다)로 연마포 표면을 깎을 필요가 있었다. 그 때문에, 연마포의 수명은 단축되어 연마숫돌입자에 버금가는 고가의 소모품으로 되고 있었다. CMP프로세스의 비용에 관해서는 예를 들면 리얼라이즈사 최신기술강좌 1996년 5월 「CMP장치와 관련재료의 최신동향과 그 문제점」에 기재되어 있다.
[6] CMP관련장치 및 설비의 문제로서, 상기의 CMP장치나 후세정장치 이외에도 연마제 공급장치, 연마제를 포함하는 폐액(廢液)의 처리장치가 필요하게 된다. CMP설비 전체에 소요되는 비용이 매우 높은 것으로 되고 있었다. 연마제 공급장치에서는 숫돌입자의 침전을 방지하기 위한 교반장치도 필요하고, 배관내에도 침전하지 않도록 항상 연마제를 순환시키는 기구도 필요하였다. 그 폐액처리비용도 높고, 재이용기술도 필요로 되고 있다.
[7] CMP공정 전체의 스루풋이 낮은 것도 문제이다. CMP장치내에서는 상기 연마포의 컨디셔닝(conditioning), 금속막 등을 연마하는 1차 CMP와 노출된 절연막표면의 손상(damage)층 등을 제거하는 2차 CMP(버프연마)를 실행하는 것이 일반적이다. 상기 후세정장치는 솔세정이 있기 때문에, 통상 웨이퍼를 낱장으로 세정한다. 따라서, CMP공정 전체의 스루풋은 반도체장치 제조공정중에서 가장 낮은 상황으로 되어 있다. CMP공정 전체의 프로세스예로서는 예를 들면 「월간 반도체세계」 1995년 5월호의 172페이지에 상세하게 기재되어 있다.
[8] CMP장치는 발진(먼지발생;發塵)의 원인으로 되는 연마숫돌입자를 다량 사용하고 있음에도 불구하고 크린룸(cleanroom)내에 설치해야 한다는 문제가 있다. CMP장치에 배기덕트 등의 발진을 억제하는 기구를 마련하고 크린룸내에 특별한 방을 설치하는 것 등에 의해 청정도를 유지할 필요가 있고, 이를 위한 비용도 소요되게 된다.
상기 문제점은 모두 고농도의 연마숫돌입자를 포함하는 연마제에 의해서 CMP를 실행하는 것이 원인으로 되어 있다. 그러나, 종래의 CMP방법은 산화성 물질에 의해서 금속표면을 산화하고, 이 산화층을 연마숫돌입자에 의해서 기계적으로 제거하는 것에 의해 새로 노출된 금속표면을 다시 산화하고, 산화층의 형성/기계적 제거를 반복해서 연마속도를 향상시키고 있었다. 즉, 연마숫돌입자는 산화층을 신속하게 제거하기 위해 기계적 제거효과를 발생시키는데 필요하고, 연마숫돌입자를 첨가하지 않으면 실용적인 연마속도에 이르지 못했다.
일본국 특허공개공보 평성7-233485호에는 연마숫돌입자를 첨가하지 않은 연마액(0.1중량%의 아미노초산과 13중량%의 과산화수소)에 의해 CMP를 실시한 비교예가 기재되어 있다. 이 경우의 연마속도는 1Onm/min으로서, 알루미나연마숫돌입자를 첨가한 연마액의 약1/10, 실리카 연마숫돌입자를 첨가한 연마액의 약2/7의 연마속도라는 것이 기재되어 있다.
도 2는 상기 공보에 따라서 추가시험을 실행한 결과이다. 이것은 상기 공보의 결과를 재현하기 위해서, 0.1중량%의 아미노초산과 과산화수소를 함유한 연마액(숫돌입자는 포함하지 않는다)에 의해 연마속도와 에칭속도의 과산화수소수 농도의존성을 측정한 것이다. 또한, 도 2에서는 30%과산화수소수의 농도로 도시하고 있고 상기 공보에 기재된 도면과 대응시키기 위해서는 0.3배해서 환산하면 좋다. 연마포로서는 로델사의 경질포 IC1000을 사용하였다. 정반(정반직경: 340mm)과 홀더의 회전수는 모두 60rpm, 연마하중은 220g/㎠로 하였다(본 발명의 CMP조건과 동일하게 하였다). 도 2의 결과로부터 숫돌입자를 포함하지 않는 경우에는 겨우 20nm/min으로서 실용적인 연마속도가 얻어지지 않는다는 것을 알 수 있다. 과산화 수소 농도가 낮으면 에칭속도가 빨라 연마의 안정성이 나빠진다. 한편, 과산화수소 농도를 높이면 안정성은 증가하지만, 연마속도가 현저히 낮아져 처리능력의 점에서는 불리하다.
또, 상세하게 알아보면, 정수액(靜水液) 에칭속도(교반하지 않은 연마액에 정지한 시료를 침지했을 때의 에칭속도)가 과산화수소수의 고농도측에서도 완전히 0으로는 되지 않는다는 것도 알 수 있었다. 또, 연마액을 교반하여 마찬가지로 에칭속도(교반액 에칭속도:CMP중의 에칭속도에 가깝다)를 측정하면, 에칭속도가 증가하여 연마속도의 1/2배를 초과한다는 것을 알 수 있다.
따라서, 숫돌입자를 함유시키는 것에 의해 연마속도를 높이고, 연마속도와 교반에칭속도의 비(이하, 속도비라 한다)를 높이지 않으면 연마액으로서 사용할 수 없다는 것을 알 수 있었다. 속도비가 낮으면 연마면에 접해 있지 않은 오목부에서의 에칭이 진행하여 평탄성이 손상된다. 실제로 이들 과산화수소수의 농도를 변경한 연마액을 사용해서 CMP를 실행한 결과, 40분∼1시간 30분의 연마시간을 필요로 하였다.
형성된 동배선의 단면도를 도 22에 도시한다. 실리콘산화막의 가공홈에 남겨지는 동은 대부분이 에칭되어 용출(녹아나옴;溶出)하고, S자형상(지그재그)배선(배선폭0.3㎛∼3㎛, 길이40mm)을 사용해서 도통시험을 실행한 결과, 제조효율은 0%이었다. 따라서, 이것을 LSI배선으로서 사용하는 것은 불가능하였다. 이것은 연마속도가 느리기 때문에, 긴 연마시간 동안에 에칭이 진행한 것이 원인이다.
아미노초산의 농도를 증가하면 연마속도는 상승하지만, 동시에 교반에칭속도도 상승하여 상기 결과와 마찬가지로 된다. 에칭을 억제하기 위해서는 수산화칼륨을 첨가해서 연마액을 pH10.5의 알칼리성으로 하면 좋다는 것이 상기 공보에 기재되어 있다. 그러나, 수산화칼륨은 실리콘산화막을 에칭하기 때문에 선택비가 저하하여 이로전이 발생한다는 문제가 생긴다. 또, 잔류한 칼륨이온이 절연막중을 확산해서 반도체소자의 특성열화를 야기한다는 문제가 생긴다.
이상과 같은 문제는 아미노초산 자체가 산화동을 수용성화하는 특성이 낮은 것에 기인하고 있다. 이것은 도 9에 도시한 pH-산화환원전위도(M.Pourbaix, 「Atlas of Electrochemical Equilibriain Aqueous Solutions」 1975년 NACE발행의 제387페이지에 개시되어 있다.)로부터 알 수 있는 바와 같이, 동이 동이온으로서 수용성화하는 범위(부식영역)가 pH7이하인데 비해 아미노초산은 중성으로서 그 효과가 약하기 때문이다.
도 26에 동의 부식영역과 부동태영역에 있어서의 부식속도(에칭속도)의 차이를 도시하였다. 실선은 도 9에 있어서 산화환원전위가 동일한 경우의 부식속도를 나타낸 것이다. 대표예로서 부식영역에서는 구연산과 과산화수소수를 혼합한 연마액, 부동태영역에서는 아미노초산과 과산화수소수를 혼합한 연마액의 부식속도를 나타내었다. 양연마액은 등몰비(equal mol rate)로 제작하여 비교하였다. 이와 같이 부식영역에서는 부동태영역과 비교해서 매우 빠른 속도로 동이 수용성화되어 이온화된다.
이 점에 관해서는 1996년 CMP-MIC Conference 예고집, 제123페이지에도 기재되어 있다. 실제로 아미노초산은 산화동을 에칭하는 효과가 없다는 것이 상기 논문에 기재되어 있지만, 산화동을 수용성화하는 효과가 없으면 CMP를 실행한 후에 노출된 절연막상에 산화동이 잔존하게 되어 배선사이의 전기적 단락의 원인으로 된다. 또한, 숫돌입자를 포함하는 연마제이면 기계적 작용에 의해 산화동은 용이하게 제거된다.
또, 종래부터 있는 금속의 에칭액은 상기 부식영역에 있지만, 이것을 그대로 LSI다층배선형성용 CMP연마액으로서 사용할 수 있다고는 할 수 없다. CMP연마에 서는 반대로 에칭속도가 느린 쪽이 적합하기 때문이다. 예를 들면, 숫돌입자가공 학회지, 1997년, 제41권, 제1호, 제231페이지∼제233페이지에 질산수용액을 사용한 동표면의 연마실험에 관해서 기재되어 있다. 숫돌입자가 없으면 연마속도가 낮아지지만, 긁힘의 발생이 없기 때문에 연마액으로서 적합하다고 기술되어 있지만, 이 연마액의 에칭속도는 조사되어 있지 않으며 배선구조의 형성도 되어 있지 않다. 실제로 이 연마액의 추가시험를 실행한 결과, l%질산수용액의 동의 정수액 에칭속도는 50nm/min으로서, 상기 학회지에 기재된 연마속도인 약80nm/min에 대하여 충분히 큰 비를 취할 수 없었다. 또, 매립배선을 형성하기 위해서 CMP를 실행하면, 배선부분으로서 남길 부분의 동도 에칭되어 거의 소실되어 버렸다. 이와 같이 에칭속도가 제어되어 있지 않은 연마액에 의해서 연마를 실행할 수는 있지만, 매립 배선을 형성할 수는 없다.
본 발명의 목적은 이러한 점에 감안해서 이루어진 것으로서, [1] 매립배선형성시의 디싱이나 이로전의 발생의 억제, [2] 긁힘의 저감, [3] 박리 등의 손상의 저감, [4] CMP후세정의 간소화, [5] 연마액과 연마포의 비용저감, [6] 연마제 공급/처리설비의 간소화, [7] 고스루풋화(고제조능률화), [8] 저발진화(低發塵化)의 적어도 한가지를 실현할 수 있는 연마방법이나 반도체장치의 제조방법을 제공하는 것이다.
상기 목적은 금속막의 연마방법에 있어서 연마숫돌입자를 포함하지 않거나 또는 포함한다고 해도 l중량% 미만의 저농도의 연마숫돌입자를 포함하고 pH 및 산 화환원전위가 금속막의 부식영역인 연마액을 이용해서 금속막표면을 기계적으로 마찰시키는 것에 의해 달성된다. 필요에 따라서 부식을 억제하는 물질(방식성 물질)을 연마액에 첨가해도 좋다.
상기 목적은 산화성 물질(금속의 전자를 빼앗아 원자가를 높이는 작용이 있는 물질)과 산화물을 수용성화하는 물질을 포함하는 연마액1을 사용해서 금속막표면을 기계적으로 마찰시키는 것에 의해 달성된다. 또한, 이 경우, Cu, W, Ti, TiN, Al 등의 금속막에 적용할 수 있다.
상기 목적은 상기 금속을 수용성화하는 물질을 포함하는 연마액2를 사용해서 금속막표면을 기계적으로 마찰시키는 것에 의해 달성된다. 또한, 이 경우에는 주로 이온화 경향이 수소보다 낮은 금속인 A1등의 금속막에 적용할 수 있다. 상기 금속을 수용성화하는 물질로서는 염산이나 유기산 등의 산 또는 수산화암모늄 등의 알칼리를 들 수 있다. 또, 이온화경향이 수소보다 높은 동도 상기 금속을 수용성화하는 물질로서 수산화암모늄을 사용하는 것에 의해 상기 목적은 달성된다.
상기 연마액의 pH 및 산화환원전위가 금속의 부식영역인 것에 의해서 상기 금속을 수용성화하는 것이 가능하게 되고, 연마기판표면에 노출된 절연막표면에서의 금속의 잔류를 저감시킬 수가 있다. 각 금속의 부식영역은 상기 Pourbaix(이하, 풀베이라 한다)의 pH-산화환원전위도에 기재되어 있다. 예를 들면, 동의 경우 도 9에 도시한 바와 같이 pH<7, 산화환원전위>0.2이면 Cu2+이온으로서 용해한다. 또는 pH>12.5의 알칼리영역이면 CuO2 2-이온으로서 용해한다. 따라서, 동 을 연마하는 경우에는 어느 하나의 부식영역으로 하는 것이 바람직하다.
단, 상기 풀베이도는 H2O계이고, 다른 반응물이 연마액에 포함되어 있는 경우에는 pH-산화환원전위도내의 부식영역이 차지하는 범위는 변화한다. 본 발명에서 설명하는 부식영역은 그와 같은 첨가물도 포함해서 연마액이 금속을 부식하는 pH 및 산화환원전위의 범위에 있는 물질을 포함하는지 포함하지 않는지로 정의한다. 연마액에 부식성 물질과 방식성 물질의 양자를 포함하는 경우에는 전자가 본 발명에서 설명하는 부식영역에 들어 간다.
상기 물질이 포함된 연마액1로 CMP를 실행하면, 우선 금속표면이 산화성 물질에 의해서 산화되어 표면에 얇은 산화층이 형성된다. 다음에, 산화물을 수용성화하는 물질이 공급되면, 상기 산화층이 수용액으로 되어 용출하고 상기 산화층의 두께가 감소한다. 산화층이 얇아진 부분은 다시 산화성 물질에 노출되어 산화층의 두께가 증대하고 이 반응을 되풀이하여 CMP가 진행한다. 그 때, 도 4의 (a)에 도시한 바와 같은 금속표면의 볼록부(50)은 항상 연마포의 기계적 마찰을 받기 때문에, 표면의 반응생성물은 제거되기 쉽고 또한 국소적으로 가열되기 때문에 반응이 촉진되고 상기 산화/수용성화의 반복반응은 오목부(49)보다 빠르게 진행한다. 즉, 볼록부(50)의 연마속도는 빨라져 평탄화된다.
방식성 물질은 금속표면에 부착하여 오목부의 반응을 억제하고 최종적으로 평탄성을 향상시키는 효과가 있다. 방식성 물질을 첨가하더라도 연마액이 풀베이도의 부식영역에 있으면, 연마포의 마찰에 의해서 방식성 물질이 제거된 금속표면 의 볼록부에서 상기 반응이 진행하고 최종적으로 평탄화된다. 즉, 연마액이 부식성과 방식성의 양자를 겸비하고 연마포의 기계적 마찰에 의해서 양자의 효과를 CMP중에 제어하는 점이 중요하다. 방식성 물질의 연마액으로의 첨가농도는 금속표면의 볼록부에 부착한 방식성 물질이 연마포의 기계적 마찰에 의해서 제거될 정도이면 좋다. 첨가농도의 기준으로서, 연마속도를 50nm/min 이상으로 유지하고, 교반에칭속도가 수nm/min 이하인 것이 바람직하다(속도비가 50정도). 그 이상의 농도로 첨가하면 CMP속도가 저하하는 경우가 있다. 단, 무첨가로 연마속도가 충분히 높고 또한 에칭속도가 수nm/min이하로 작은 경우에는 방식성 물질을 첨가하지 않더라도 평탄성 좋게 연마할 수가 있다.
종래의 CMP방법이 산화성 물질에 의해서 금속표면을 산화하고 이 산화층을 연마숫돌입자에 의해서 기계적으로 제거하는 것에 의해 연마속도를 향상시키고 있던데 반해 본 발명에서는 연마숫돌입자 농도를 저감하는 것 대신에 산화물을 수용성화하는 물질을 첨가하는 것에 의해서 실질적으로 연마포의 기계적 마찰만으로 실용적인 연마속도를 얻는다.
상기의 [1]∼[8]의 목적은 이하의 연마숫돌입자 농도범위로 달성된다.
상기의 [1] 디싱과 이로전을 억제하는 목적은 상기 연마숫돌입자의 농도를 0.05중량% 이하로 하는 것에 의해 달성된다.
상기의 [2] 절연막표면에 발생하는 긁힘을 저감하는 목적은 상기 연마숫돌입자의 농도를 1중량% 미만으로 하는 것에 의해 달성된다.
상기의 [2] 금속막표면에 발생하는 긁힘을 저감하는 목적은 상기 연마숫돌입 자의 농도를 0.1중량% 이하로 하는 것에 의해 달성된다.
상기의 [3] 박리를 저감하는 목적은 상기의 연마숫돌입자의 농도를 0.5중량% 이하로 하는 것에 의해 달성된다.
상기의 [4] 세정성을 향상시키는 목적은 상기의 연마숫돌입자의 농도를 0.01중량% 이하로 하는 것에 의해 달성된다.
상기의 [5] 연마액과 연마포의 비용을 저감하는 목적은 상기 연마숫돌입자의 농도를 0.001중량% 이하로 하는 것에 의해 달성된다.
상기의 [6] 연마제 공급/처리설비의 문제를 해결하는 목적은 상기 연마숫돌입자의 농도를 0.0001중량% 이하로 하는 것에 의해 달성된다.
상기의 [7] 스루풋을 향상시키는 목적은 상기 연마숫돌입자의 농도를 0.01중량% 이하로 하는 것에 의해 달성된다.
상기의 [8] 발진을 억제하는 목적은 상기의 연마숫돌입자를 첨가하지 않는 것에 의해 달성된다.
상기의 산화성 물질로서는 과산화수소가 금속성분을 포함하지 않고 또한 강산이 아니기 때문에 가장 바람직하다. 질산 제2철 및 과옥소산칼륨은 금속성분을 포함하지만 산화력이 강하기 때문에 연마속도를 높게 하는 효과가 있다.
상기의 산화물을 수용성화하는 물질로서는 산이 있고, 금속이온(예를 들면, Cu2+ 이온)으로서 수용성화하는 작용을 이용한다. 무기산으로서는 질산, 황산, 염산이 대표적인 것이다.
또한, 유기산 또는 그의 염은 독성이 낮아서 연마액으로서 취급하기 쉽다. 예를 들면, 구연산, 능금산(말산), 말론산, 호박산, 주석산, 프탈산, 말레인산, 푸마르산, 유산, 피메린산, 아디핀산, 글루타르산, 수산(옥살산), 살리실산, 글루콜산, 벤조산(안식향산) 등의 히드록시산이나 개미산, 초산, 프로피온산, 낙산, 길초산 등의 카르복실산으로 대표되는 유기산 및 그들의 염을 들 수 있다. 염은 용해도를 높이는 효과가 있고 금속성분을 포함하지 않은 것 예를 들면 암모늄염 또는 반도체소자에 악영향을 미치지 않는 원소(예를 들면 알루미늄 등)를 포함하는 것이 바람직하다.
상기의 산 중 구연산, 능금산, 말론산, 호박산, 주석산, 개미산이 고연마속도, 저에칭속도의 관점에서 본 발명의 연마액에 사용하는 산으로서 바람직하다.
상기의 산중, 구연산과 능금산은 식품첨가물로서도 일반적으로 사용되고 있고, 독성이 낮고, 폐액으로서의 해도 낮고, 냄새도 없고, 물에의 용해도도 높기 때문에, 본 발명의 연마액에 사용하는 산으로서 특히 바람직하다.
프탈산은 물에의 용해도가 낮기 때문에 염으로 하는 것이 바람직하다. 단, 염으로 해서 pH가 변화하더라도 연마액을 금속의 부식영역에 유지할 필요가 있다. 예를 들면, 프탈산을 동의 연마액으로서 사용하는 경우, 프탈산분자내의 2개의 카르복실기 중 하나만을 치환한 프탈산수소염이면 물에의 용해도가 증가하고 또한 pH를 산성(부식영역)으로 유지할 수 있기 때문에 연마액으로서 적합한다. 2개의 카르복실기를 치환한 프탈산염으로서는 연마액은 거의 중성으로 되어 CMP속도가 저하한다. 다른 유기산에 관해서도 마찬가지이다.
상기의 산화성 물질과 산화물을 수용성화하는 물질은 양자의 작용을 모두 갖는 약제이면 1종류라도 상관없다. 예를 들면, 동을 용해하는 질산은 그 예이다. 첨가약액이 적어지는 것에 의해 연마액제작에 소요되는 시간과 비용을 저감하는 것이 가능하게 된다. 산화력을 높이기 위해서 과산화수소와 같은 다른 산화성 물질을 혼합할 수도 있다.
또한, 상기의 산화물을 수용성화하는 물질로서 수산화암모늄, 질산암모늄, 염화암모늄 중 어느 1개를 포함하는 것이어도 좋다. 연마액에 암모늄이온이 포함되어 있는 경우에는 상기에 설명한 바와 같이 부식영역이 변화하고 pH>4.5이더라도 Cu(NH3)2+ 이온으로서 동을 용해시키는 작용이 있다. 또, Cu-NH3-H2O계에 관한 pH-산화환원전위도는 예를 들면 J.Electrochem. Soc. 142권, 7호, 1995년 7월, 제2381페이지에 기재되어 있다.
산화나 에칭을 억제하는 물질로서는 방식성 물질 및 계면활성제를 들 수 있다. 연마액 중에 혼합하는 것에 의해 에칭이 억제되고 또한 충분한 연마속도가 얻어지는 물질이면 좋다. 특히, 동합금의 방식성 물질로서는 벤조트리아졸(이하, BTA라 한다)이 가장 효과가 크다. 그 외에 실용상 사용할 수 있는 것으로서는 트릴트리아졸(이하, TTA라 한다), BTA카르복실산(이하, BTA-COOH라 한다) 등의 BTA의 유도체, 시스틴, 할로초산, 글루코스, 도데실메르캅탄 등도 방식효과가 있다.
계면활성제로서는 폴리아크릴산암모늄염, 폴리아크릴산, 폴리메타크릴산암모 늄, 폴리메타크릴산 등을 들 수 있고, 특히 폴리아크릴산암모늄염이 고연마속도, 저에칭속도의 관점에서 본 발명의 연마액에 사용하는 계면활성제로서 바람직하다.
상기의 기계적 마찰을 가하는 수단은 1중량% 이상의 연마숫돌입자를 연마액에 공급하지 않는 연마포를 사용할 수 있다. 연마포의 최적경도는 CMP을 실행하는 대상물에 따라서 다르지만, 예를 들면 O.1㎟의 동(銅)전극패턴을 상감법에 의해 형성하고 그 디싱의 허용량이 1OOnm 이하인 경우에는 CMP를 실행하는 하중으로 연마포를 0.l㎟의 개구부에 꽉 눌렀을 때 그 개구부에서 연마포가 압축되어 밀어내보내지는 양(압출량)이 1OOnm 이하인 것이 바람직하다. 경질의 연마포는 이 조건을 만족시키는 것이고, 이것을 사용하는 것에 의해 디싱을 억제할 수가 있다. 또, 상감법이라는 것은 개구를 갖는 절연막상에 금속막을 형성한 후 연마하고 개구부내부에 금속막을 남기는 기술이다.
단, 최대1㎛정도의 플러그를 형성하는 경우에는 연질연마포를 사용하는 것도 가능하다. 연마포의 경도는 긁힘이나 박리가 발생하지 않을 정도이면 높을 수록 바람직하지만, 배선이나 플러그의 패턴 이외의 기판표면의 요철 예를 들면 웨이퍼의 휨 등에는 추종할 수 있을 정도로 유연한 경도의 연마포일 필요가 있다.
연마포에서 공급되는 연마숫돌입자는 연마액의 숫돌입자 농도와 마찬가지로 상기 [1]∼[8]의 목적에 따라서 상한이 다르다. 예를 들면, 상기의 [l] 디싱과 이로전을 억제하는 목적은 숫돌입자농도를 0.05중량% 이하로 하는 것에 의해 달성된다.
여기에서, 연마속도가 10nm/min 이하인 연마액은 예를 들면 800nm의 금속막 1매의 CMP를 실행하는 경우에 80분을 필요로 하기 때문에 배선구조를 제작하는데 실용적이지 않고, 상기의 스루풋의 문제와 비용문제를 해결하고 있지 않으므로 본 발명에서는 연마액으로서 정의하지 않는다.
또한, 연마속도와 에칭속도의 비가 5배이상, 가능하면 10배이상이 바람직하다. 이것 이하이면, 가령 연마속도가 높더라도 CMP중에 발생하는 에칭작용에 의해서 배선구조를 치수정밀도 좋게 제작할 수 없게 된다. 에칭속도는 수nm/min 이하인 것이 바람직하다.
본 발명은 긁힘이나 디싱, 이로전 등이 발생하기 쉬운 동합금이나 알루미늄합금의 CMP에 있어서 가장 효과가 있지만, 다른 금속 CMP 예를 들면 텅스텐 및 텅스텐합금, 티탄 및 티탄합금 등(특히 질화티탄)에 있어서도 절연막상에 발생하는 긁힘의 저감에는 유효하다.
<실시예>
이하, 본 발명을 도면을 사용해서 구체적으로 설명한다.
<실시예 1>
본 실시예에서는 동의 CMP를 실행하는 것에 의해 동배선을 형성하는 방법에대해서 설명한다. 도 1은 본 발명의 실시예에 있어서 사용하는 CMP장치를 도시한 개략도이다. 연마포(17)이 부착된 정반(턴테이블)(11)상을 백킹패드(18)에 의해서 웨이퍼(14)를 지지한 홀더(12)가 회전하여 CMP를 실행하는 구조로 되어 있다. CMP중에 웨이퍼가 빠지지 않도록 리테이너링(retainer ring)(13)이 마련되어 있다. CMP중에 있어서의 연마하중은 220g/㎠ 정반과 홀더의 회전수는 모두 60rpm으로 하 였다. 또, 연마하중이나 회전수는 이것에 한정되는 것은 아니다. 일반적으로, 하중이나 정반회전수를 늘리는 것에 의해 연마속도가 빨라지지만, 도 25에 도시한 바와 같이 긁힘이 발생하기 쉽게 된다. 단, 본 발명에서는 연마숫돌입자농도가 낮기 때문에, 또는 포함하지 않기 때문에, 하중에 대한 연마상(硏磨傷)의 발생은 적다. 연마포는 로델사제의 경질포 IC1000을 사용하였다.
본 발명의 연마액은 정반상에 마련된 제1 공급구(15)에서 연마포상에 약30cc/min의 속도로 떨어뜨려(적하해서) CMP를 실행한다. CMP가 종료한 단계에서 제1 공급구(15)를 닫고 연마액의 공급을 정지하며, 제2 공급구(16)에서 순수(순수한 물)를 약3000cc/min의 속도로 공급하여 린스(헹굼)를 15∼30초동안 실행한다. 그 후 웨이퍼를 건조시키지 않은 상태에서 메가소닉세정을 하여 연마액을 제거한 후 웨이퍼를 건조시킨다.
우선, 배선패턴이 형성되어 있지 않은 웨이퍼를 사용해서 본 발명의 연마액의 연마특성을 조사하였다. 시료는 실리콘웨이퍼상에 두께200nm의 실리콘산화막을 형성한 후, 접착층으로서 두께50nm의 TiN막과 두께800nm의 Cu막을 스퍼터링법에 의해 진공중에서 연속성막한 것이다. 웨이퍼직경은 4인치이다.
본 실시예에서 사용한 연마액은 산화성 물질 및 산화물을 수용성화하는 물질인 유기산을 혼합한 것이다. 산화성 물질로서는 과산화수소수(30% H2O2수용액), 유기산으로서는 구연산을 사용하였다. 구연산은 물에의 용해도가 높다는 이점이 있다. 혼합비를 최적화하기 위해서, 농도를 변경해서 연마속도와 에칭속도를 조 사하였다. 또, 연마액의 온도는 실온이다. 에칭속도라는 것은 연마액중에 시료를 침지했을 때 동표면이 에칭되는 속도로서, CMP중에 과잉으로 에칭이 진행하면 배선구조가 형성되지 않기 때문에 가능한 한 낮은 쪽이 바람직하다. 에칭속도는 도 2와 같이 정수액 에칭속도와 교반액 에칭속도를 조사하였다. 연마속도와 에칭속도는 전기저항값변화에서 환산하여 구하였다.
도 3에 연마액의 과산화수소수 농도의존성을 조사한 결과를 도시한다. 구연산농도는 0.03중량%로 일정하게 하였다. 연마속도와 정수액 에칭속도의 비도 아울러 도시하고 있다. 연마속도는 과산화수소수의 농도가 10체적%일 때에 최대값이 84nm/min을 나타내고 있지만, 에칭속도는 5체적% 이하에서 5nm/min 이하의 낮은 값으로 되어 있으므로 연마속도와 에칭속도의 비를 취하면 5체적%로 가장 높은 비30을 나타내었다. 과산화수소수만 또는 구연산만인 경우에는 1Onm/min 이하의 연마속도로 되어 매립배선을 형성하는 목적에는 충분한 연마속도가 아니다. 즉, 구연산과 과산화수소수의 양쪽이 함유되어 있는 것이 필요하다. 그래서, 5체적%의 과산화수소수와 0.03중량%의 구연산을 순수에 혼합한 연마액을 사용해서 동의 매립배선패턴을 제작하였다. 도 9에 도시한 바와 같이, 본 연마액은 동의 부식영역에 있다.
매립배선을 형성하는 시료의 연마전의 단면구조의 예를 도 4의 (a)에 도시한다. 불순물도프층이나 절연막이 형성된 실리콘기판(25)상에 두께500nm의 BPSG 막(붕소와 인(P)이 첨가된 실리콘산화막)(24)와 두께500nm의 실리콘산화막(23)을 성막하고, 리도그래피공정 및 드라이에칭공정에 의해서 깊이 500nm의 배선용 홈패 턴을 실리콘산화막(23)내에 형성하였다. 그 위에 접착층으로서 두께 50nm의 TiN 층(22)를 성막한 후에 두께 800nm의 동박막(21)을 스퍼터링법에 의해 진공중에서 연속성막하였다. 또, 단차피복성을 좋게 하기 위해서 스퍼터장치내에서 섭씨450°로 30분간의 진공열처리를 실행하였다. 실리콘기판(25)에는 소스, 드레인등의 불순물도프층이 형성되어 있지만, 여기서는 생략해서 기재하지 않는다.
이 시료를 5체적%의 과산화수소수와 0.03중량%의 구연산을 순수에 혼합한 연마액을 사용해서 CMP를 실행한 결과, 도 4의 (b)와 같이 디싱이나 이로전이 약50nm 이하로 되는 형상으로 가공할 수가 있었다. 형성된 동배선의 전기저항율을 측정한 결과 TiN층의 부분도 포함해서 1.9μΩ㎝의 값을 얻었다. 또한, S자형상배선(배선폭0.3㎛∼3㎛, 길이40mm)이나 빗형상배선(배선간격0.3㎛∼3㎛, 길이40mm)을 사용해서 도통/절연시험을 실행한 결과 거의 100%의 제조효율이 얻어졌다.
다음에, 동의 플러그를 본 발명의 연마액에 의해서 형성한 예를 설명한다. 성막방법과 CMP조건은 상기 매립배선의 형성방법과 마찬가지이다. 도 17의 (a)∼도 17의 (c)에 형성된 직경0.5㎛의 동플러그의 구조를 도시한다. 도 17의 (a)는 CMP전의 단면구조, 도 17의 (b)는 CMP후의 단면구조, 도 17의 (c)는 상면에서 본 구조이다. 플러그의 경우에는 절연막의 개구부가 1㎛이하이기 때문에, 연질연마포(예를 들면 로델사제의 Suba800이나 XHGMl158)에 의해서도 도 17의 (b)와 같이 디싱이나 이로전 없이 형성할 수가 있었다. 경질연마포(IC1000)을 사용할 수 있는 것은 물론이다.
CMP의 종점검출에 관해서는 문제없이 실행할 수 있었다. 종점검출방법으로 서, CMP장치의 연마정반 또는 웨이퍼홀더의 회전토크의 변화에 따라서 실행한 경우, 도 23에 도시한 신호가 얻어졌다. 약 350초 경과한 시점에서 Cu의 연마가 종료하고 TiN을 연마하는 단계로 되면 토크신호강도가 강하게 되고 그 후의 약400초 경과한 시점에서 강도가 떨어지는 것으로 부터 판정할 수 있었다.
또한, 연마처리후의 연마액의 광학적 스펙트럼변화에 따라서 종점을 검출할 수도 있었다. 연마처리전의 연마액은 투명하지만, 동을 연마하는 것에 의해서 동이온이 연마액에 용해되어 연마액은 푸르게 된다. 그래서, 도 24에 도시한 바와 같이 연마처리가 종료해서 흘러 나온 연마액의 광신호강도를 파장725nm에서 측정한 결과, 연마가 종료한 단계에서 강도가 저하하여 종점을 검출할 수 있다는 것을 알 수 있었다. 종래의 연마숫돌입자가 첨가된 연마액인 경우에는 연마액이 희뿌옇게 되어 있기 때문에 광스펙트럼의 변화로 측정하는 것이 곤란하였다. 또한, 연마포의 일부에 구멍을 뚫고 웨이퍼표면으로 부터의 광반사 스펙트럼변화에 따라서 종점검출하는 것도 가능하였다. 이 경우에도 연마액에 숫돌입자가 포함되어 있으면 웨이퍼표면에 부착한 희뿌연(白濁) 연마액에 의해서 신호에 잡음이 혼입되어 측정이 곤란하였다.
본 실시예에서는 산으로서 구연산을 사용했지만, 구연산 대신에 능금산, 말론산, 주석산, 호박산 등의 유기산을 사용해도 배선구조를 형성할 수 있다.
본 실시예에서는 산화제로서 과산화수소를 사용했지만, 과산화수소 대신에 질산 제2철이나 과옥소산칼륨을 사용해도 배선구조를 형성할 수 있다. 단, 철이나 칼륨의 오염대책이 필요하게 된다.
또한, 수산화암모늄, 질산암모늄, 염화암모늄 중 어느하나를 포함하는 연마액에 의해 CMP를 실행해도 마찬가지로 매립배선구조를 형성할 수가 있었다.
<실시예 2>
본 실시예에서는 실시예 1에서 사용한 연마액에 방식성 물질을 첨가해서 더욱더 연마특성을 향상시키는 방법에 대해서 설명한다. 방식성 물질의 첨가에 의해서 도 3에 도시한 에칭속도가 저하하고 연마속도와 에칭속도의 비가 더욱 높아진다. 이것에 의해 CMP중에 동표면이 과잉으로 에칭되는 것을 방지할 수 있고, 또한 CMP후에 동연마면이 산화되는 것을 방지할 수 있게 된다.
방식제(防蝕劑)는 BTA를 사용하였다. 5체적%의 과산화수소수와 0.03중량%의 구연산을 순수에 혼합한 연마액에 BTA를 0.1중량% 첨가하였다. BTA를 첨가하더라도 본 연마액의 pH와 산화환원전위는 거의 변화하지 않고 도 9에 도시한 동의 부식영역에 있다. 실시예 1과 마찬가지로 동의 에칭속도를 조사한 결과 BTA첨가전과 비교해서 약 6분의 1로 저감할 수가 있었다. 그래서, 이 연마액을 사용해서 실시예 1과 동일한 조건으로 CMP를 실행한 결과 동연마면의 부식을 억제하여 도 4에 도시한 매립배선을 형성할 수가 있었다. 형성된 동배선의 전기저항율을 측정한 결과, TiN층의 부분도 포함해서 1.9μΩ㎝의 값을 얻었다. 또한, S자형상배선(배선폭 0.3㎛∼3㎛, 길이 40mm)이나 빗형상배선(배선간격0.3㎛∼3㎛, 길이 40mm)을 사용해서 도통/절연시험을 실행한 결과, 거의 100%의 제조효율이 얻어졌다.
또한, 오버CMP를 장시간(예를 들면 2배의 시간) 실행한 경우, BTA를 첨가하지 않은 연마액인 경우에는 도 16의 (a)와 같이 동배선부가 약100nm의 깊이정도 에 칭되어 주변의 절연막부분보다 움푹 들어가는 감소가 관찰되었지만, BTA를 첨가한 연마액을 사용하는 것에 의해 도 16의 (b)와 같이 수10nm 이하로 억제할 수가 있었다. 또, 오버CMP는 웨이퍼전체에 걸쳐 연마잔여물이 없도록 하기 위해서 실행하는 것이다.
상기 연마액을 농후하게 한 것에 의해서도 마찬가지로 배선구조를 제작할 수 있었다. 예를 들면, 30체적%의 과산화수소수와 0.15중량%의 구연산과 0.3중량%의 BTA를 순수에 혼합한 것이 양호했다. 연마액이 농후한 경우, 웨이퍼내의 연마균일성이 향상하는 효과가 있었다. 연마액이 희박한 경우의 균일성이 10% 이상인데 비해 농후액의 균일성은 8% 이하로 되었다. 단, 희박액은 연마액을 저가로 제작할 수 있다는 효과가 있다.
본 실시예에서는 산으로서 구연산을 사용했지만, 구연산 대신에 능금산, 말론산, 주석산, 호박산 등의 유기산을 사용해도 배선구조를 형성할 수 있다. 예를 들면, 30체적%의 과산화수소수와 0.15중량%의 능금산과 0.2중량%의 BTA를 순수에 혼합한 것에서 양호한 결과가 얻어졌다.
또한, 금속을 수용성화하는 물질로서 수산화암모늄을 사용하는 경우에도 BTA를 첨가한 연마액으로 하는 것에 의해 상기 효과가 얻어지며, 매립 동배선을 형성할 수가 있다.
<실시예 3>
본 실시예에서는 숫돌입자 농도저감에 의한 디싱과 이로전의 억제효과를 조사하였다. 실시예 2의 연마액(5체적%의 과산화수소수와 0.03중량%의 구연산과 0.1중량%의 BTA를 순수에 혼합한 것)과 비교예로서 이 연마액에 알루미나숫돌입자 (입자직경:약 200nm)를 2.5중량% 첨가한 것을 준비하였다. 이들의 연마액을 사용해서 실시예 2와 같이 매립배선을 형성하고 도 5에 정의한 디싱량과 이로전량의 배선폭 의존성을 폭0.4㎛∼90㎛이고 길이 400㎛인 배선의 단면사진을 주사전자현미경 (SEM)으로 촬영하여 측정하였다. 도 6의 (a), (b)에 계측결과를, 도 7 및 도 8에 SEM관찰에 근거하여 도시한 단면의 형상을 도시한다.
도 6의 (a), (b)에서 디싱량, 이로전량 모두 배선폭이 굵게 됨에 따라 커지는 경향이 있지만, 알루미나숫돌입자를 없애는 것에 의해서 디싱량은 약 1/2로 저감되고, 폭4㎛이하의 배선의 이로전량은 거의 SEM에서는 관찰할 수 없을 정도(10nm 이하)로까지 저감되었다. 도 8의 (a), (b)의 비교결과 90㎛의 배선폭인 경우에는 현저한 차가 관찰된다.
다음에, 디싱량과 이로전량의 알루미나숫돌입자 농도의존성을 조사하였다. 양값의 계측은 도 5에 도시한 정의에 따라서 실행하였다. 알루미나숫돌입자농도는 0.0001중량%, 0.001중량%, 0.01중량%, 0.05중량%, 0.1중량%, 0.5중량%, 1중량%의 7종류의 연마액을 준비하였다. 그 결과, 알루미나숫돌입자 농도가 0.05중량% 이하로 되면 양값 모두 알루미나숫돌입자가 들어가 있지 않은 연마액과 동등정도의 값으로 되고 오차의 범위(20nm이하)에서 도 6의 (b)의 결과와 일치하였다. 이것에 의해 알루미나숫돌입자 농도가 0.05중량% 이하인 연마액을 사용해서 CMP를 실행하는 것에 의해 디싱과 이로전을 억제한 매립배선구조를 형성할 수 있다는 것을 알 수 있었다.
<실시예 4>
본 실시예에서는 숫돌입자농도의 저감에 의한 긁힘억제효과를 조사하였다. 실시예 2의 연마액(5체적%의 과산화수소수와 0.03중량%의 구연산과 0.1중량%의 BTA를 순수에 혼합한 것)과 이것에 알루미나숫돌입자(입자직경:약 200nm)를 0.0001중량%, 0.001중량%, 0.01중량%, 0.05중량%, 0.l중량%, 0.5중량%, 1중량%, 2.5중량%, 5중량% 첨가한 연마액을 준비하였다. 이들 연마액을 사용해서 배선패턴이 없는 동박막표면과 실리콘산화막표면의 CMP를 실행하였다.
그 결과, 1중량% 이상의 알루미나숫돌입자 농도의 연마액에 의해 CMP를 실행한 실리콘산화막표면에는 도 25에 도시한 바와 같이 웨이퍼당 100∼1000개의 점형상 긁힘이 광학현미경으로 관찰되었지만, 0.5중량% 이하의 알루미나숫돌입자 농도의 연마액에 의해 CMP를 실행한 웨이퍼에서는 수개정도까지 억제되었다. 긁힘의 크기는 1㎛이하이기 때문에, 이러한 정도의 긁힘수이면 배선구조를 형성하는 목적에서 보면 문제로 되지 않는 레벨이다.
다음에, 동표면에 형성되는 긁힘을 조사하였다. 0.5중량% 이상의 알루미나숫돌입자농도의 연마액에 의해 CMP를 실행한 동표면에는 육안관찰(目視)로 판별가능한 선형상의 긁힘이 발생하였다. 알루미나숫돌입자 농도가 증가함에 따라서 웨이퍼당의 긁힘발생 갯수는 증가하는 경향이었다. 0.1중량%의 알루미나숫돌입자 농도의 연마액에 의해 CMP를 실행한 웨이퍼에서 발생하는 긁힘수는 수개이지만, 이 시료를 SEM에 의한 웨이퍼단면의 관찰과 AFM(원자간력현미경)에 의해 표면의 요철관찰을 한 결과, 긁힘의 깊이는 1OOnm정도라는 것을 알 수 있었다. 매립동배선 의 깊이가 500nm이기 때문에, 100nm의 긁힘은 문제로 된다.
0.1중량% 이하의 알루미나숫돌입자 농도의 연마액에 의해 CMP을 실행한 동표면에서는 육안관찰로 판별가능한 긁힘은 삭감하였다. 이들 시료를 SEM과 AFM으로 관찰을 한 결과, 긁힘의 깊이는 10nm정도라는 것을 알 수 있었다. 이 정도이면,배선의 전기저항에 거의 영향을 미치는 일은 없다.
또, 긁힘의 발생을 저감할 수 있기 때문에, 연마하중과 정반회전수를 증가할 수 있어 연마속도를 높이는 것이 가능하게 된다는 효과도 있었다.
실제로 숫돌입자농도0.1중량% 이하의 연마액을 사용해서 실시예 1과 마찬가지로 하여 매립배선을 형성하고, S자형상배선(배선폭0.3㎛∼3㎛, 길이 40mm)이나 빗형상배선(배선간격0.3㎛∼3㎛, 길이 40mm)을 사용해서 도통/절연시험을 실행한 결과, 거의 100%의 제조효율이 얻어졌다.
<실시예 5>
본 실시예에서는 숫돌입자농도의 저감에 의한 박리억제효과를 조사하였다. 실시예 2의 연마액(5체적%의 과산화수소수와 0.03중량%의 구연산과 0.1중량%의 BTA를 순수에 혼합한 것)과 이것에 알루미나숫돌입자를 0.0001중량%, 0.00l중량%, 0.01중량%, 0.05중량%, 0.1중량%, 0.5중량%, 1중량%, 5중량%, 10중량% 첨가한 연마액을 준비하였다. 시료는 두께 800nm의 동박막을 두께5nm(실시예 1의 1/10의 두께)의 TiN층을 사이에 두고 실리콘산화막상에 스퍼터링에 의해 성막한 것을 사용하였다. 이 시료를 상기 연마액을 사용해서 CMP를 실행하였다.
그 결과, 1중량% 이상의 알루미나숫돌입자 농도의 연마액에 의해 CMP을 실행 한 웨이퍼주변부부터 박리가 동층과 TiN층 사이에서 발생하였다. 알루미나숫돌입자와 동표면에 발생하는 마찰력이 원인이라고 생각된다. 0.5중량% 이하의 알루미나숫돌입자 농도의 연마액에 의해 CMP을 실행한 웨이퍼에서는 마찰력이 저하하고 박리는 전혀 발생하지 않았다. 또, 박리의 발생이 감소하면 연마하중과 정반회전수를 증가시킬 수 있어 연마속도를 높이는 것이 가능하게 된다는 효과도 있었다.
그래서, 숫돌입자농도0.5중량% 이하의 연마액을 사용하여 실시예 2와 마찬가지로 해서 매립배선을 형성하였다. 시료는 도 4의 TiN층(22)를 5nm로 한 시료를 사용하였다. 그 결과, 동박막의 박리없이 매립배선을 형성할 수 있었다.
<실시예 6>
본 실시예에서는 숫돌입자농도의 저감에 의한 세정성의 향상의 효과를 조사하였다. 실시예 2의 연마액(5체적%의 과산화수소수와 0.03중량%의 구연산과 0.1중량%의 BTA를 순수에 혼합한 것)과 이것에 알루미나숫돌입자를 0.0001중량%, 0.001중량%, 0.01중량%, 0.05중량%, 0.1중량%, 0.5중량%, 1중량%, 5중량%, 10중량% 첨가한 연마액을 준비하였다. 이들 연마액에 의해 실리콘산화막상에 형성된 동박막과 TiN박막을 CMP에 의해서 제거하여 표면에 나타난 실리콘산화막표면을 순수에 의해 세정을 한 후 잔존하는 알루미나숫돌입자(결함수)를 면반(面盤)결함장치를 사용해서 조사하였다. 크기가 0.2㎛이상인 결함에 대해서 웨이퍼당의 수를 측정하였다. 웨이퍼의 크기는 4인치이다.
그 결과, 도 10에 도시한 바와 같이 알루미나숫돌입자 농도의 저감에 따라서 결함수는 감소하고, 농도0.01중량% 이하이면 메가소닉세정만으로 결함수를 100개이 하로 저감시킬 수 있다는 것을 알 수 있었다. 종래는 1중량%이상의 농도의 알루미나숫돌입자 연마액을 사용하고 있었기 때문에, 폴리비닐알콜(PVA)의 솔세정과 메가소닉세정을 병용하여 결함수를 100개이하로 저감하고 있었다. 따라서, 숫돌입자농도가 0.01중량% 이하인 연마액을 사용해서 연마를 하는 것에 의해 세정공정수를 저감시키는 효과가 있다. 또는, 종래와 마찬가지의 세정공정을 실행하는 것에 의해 더욱더 이물수를 저감시키는 효과가 있다.
<실시예 7>
본 실시예에서는 숫돌입자농도의 저감에 의해 CMP처리공정수를 저감할 수 있는 것을 설명한다. 도 11에 종래의 연마액을 사용한 경우의 CMP의 처리공정을 도시한다. 종래의 CMP장치에서는 예를 들면 알루미나숫돌입자 농도가 1중량% 이상으로 높고, 숫돌입자의 연마포로의 눈막힘을 방지하기 위해서 CMP를 실행하기 전에 수10초∼수분간의 연마포의 컨디셔닝을 실행하고 있었다.
또한, 연마에 의해 노출된 실리콘산화막 등의 절연막표면의 손상층을 제거하는 목적으로 매립배선층을 형성하기 위한 금속CMP후에 절연막의 CMP를 수10초∼2분정도 실행하고 있었다. 그 후, 웨이퍼를 건조시키지 않고 세정공정으로 이행해서 숫돌입자제거의 목적으로 암모니아액에 의한 제1 솔세정을 한다. 또, 실리콘산화막 등의 절연막표면의 손상층의 금속오염을 제거하기 위해서 희(希)플루오르화수소산(HF)에 의한 제2 솔세정을 실행하고 있었다. 최종적으로 메가소닉세정에 의해 원하는 레벨까지 숫돌입자를 제거한 후 웨이퍼를 건조시키고 있었다.
도 12에 본 발명에 관한 0.01중량% 미만의 저농도 연마숫돌입자함유 연마액 을 사용한 경우의 CMP공정 전체를 도시한다. CMP장치에서는 숫돌입자의 눈막힘이 거의 발생하지 않게 되므로, 새로운 연마포를 사용하는 경우 이외에는 대부분 컨디셔닝이 불필요하게 되었다. 숫돌입자농도가 1/10배로 되면, 연마포의 수명이 10배로 되었다. 또한, 실리콘산화막표면의 긁힘 등에 의한 손상층이 없어지기 때문에, 절연막의 CMP도 불필요하게 되었다. 세정공정에서는 메가소닉세정만으로 종래의 레벨(결함수)까지 세정가능하였다.
전반사(全反射) 형광X선에 의해 중금속오염을 평가한 결과, 이 점에 관해서도 메가소닉세정만으로 종래의 레벨까지 세정가능하였다. 최종적으로 종래의 CMP공정과 비교하여 2분의 1정도로 공정시간이 단축되었다. 도 12의 공정은 숫돌입자농도가 0.01중량% 이하이면 실용상 사용가능하지만 0.005중량% 이하가 바람직하다.
<실시예 8>
본 실시예에서는 숫돌입자농도의 저감에 의한 연마포와 연마제의 비용삭감의 효과를 조사하였다.
동CMP용 알루미나연마제는 CMP시간을 오버연마시간도 포함해서 5분으로 하고, 100cc/분의 속도로 CMP장치내로 연마제를 공급하면 1회의 CMP에서 1ℓ사용된다. 또, 연마포는 약 400개의 CMP에서 1개을 소모한다. 또, CMP장치 이외에 후세정장치가 필요하다.
종래의 알루미나숫돌입자 농도가 1중량% 이상인 연마액을 사용한 CMP를 실행하는 경우의 CMP관련비용 내역을 도 13에 도시한다. 다른 반도체관련장치와는 달리 소모품인 연마포와 연마제의 비용이 전체의 약70%를 차지하는 것을 알 수 있다.
이것에 대해서 본 발명의 연마액에서는 알루미나숫돌입자 농도를 0.001중량% 이하로 저감하는 것에 의해 CMP관련비용을 크게 삭감하는 것이 가능하게 된다. 연마액에 첨가하는 약액의 비용은 필요하게 되지만, 종래의 알루미나연마제의 비용의 1/100정도이다. 연마포의 비용도 종래 실행하고 있던 컨디셔닝의 빈도가 적어지기때문에 삭감가능하다.
CMP장치에 관해서도 알루미나숫돌입자 농도가 0.0001중량% 이하이면 연마제공급장치, 연마제교반기구, 연마제처리장치 등의 설비가 불필요하게 되고, 알루미나숫돌입자 농도가 0으로 되면 크린룸내의 발진방지대책도 불필요하게 되어 종래품과 비교해서 비용삭감으로 된다. 세정장치에 관해서도 종래의 솔세정이 불필요하게 되기 때문에 1/2정도의 비용으로 된다. 이상의 결과로부터 본 발명의 연마액을 사용하는 것에 의해 CMP관련 전체에 걸쳐 약70%의 비용을 삭감할 수가 있다.
<실시예 9>
본 실시예에서는 질산과 BTA를 사용한 연마액에 의해 매립 동배선을 형성하는 방법을 설명한다. 질산은 동에 대해 산화작용이 있고 또한 질산 자체의 산의 성질로 동을 수용성화하는 것이 가능하기 때문에 1약액으로 본 발명의 2종류의 작용을 겸할 수 있다. BTA는 실시예 2와 마찬가지로 에칭을 억제하는 효과가 있어 연마속도와 에칭속도의 비를 높이는 것이 가능하게 된다. 이것에 의해 CMP중에 동표면이 과잉으로 에칭되는 것을 방지할 수 있고 또한 CMP후에 동연마면이 과도하 게 산화되는 것을 방지하는 것이 가능하게 된다. 연마액의 농도는 질산:0.2체적%, BTA:0.01중량%를 순수에 혼합한 수용액이다. 도 9에 도시한 바와 같이, 본 연마액은 동의 부식영역에 있다.
실시예 1과 마찬가지로 동의 에칭속도를 조사한 결과, BTA의 첨가에 의해서 약 6분의 1로 저감할 수가 있었다. 그래서, 이 연마액을 사용하여 실시예 l과 동일한 조건으로 CMP를 실행한 결과, 동연마면의 부식을 억제해서 매립배선을 형성할 수 있었다. 형성된 동배선의 전기저항율을 측정한 결과, TiN층의 부분도 포함해서 1.9μΩ㎝의 값을 얻었다. 또한, S자형상배선(배선폭0.3㎛∼3㎛, 길이 40 mm)이나 빗형상배선(배선간격0.3㎛∼3㎛, 길이 40mm)을 사용해서 도통/절연시험을 실행한 결과, 거의 100%의 제조효율이 얻어졌다.
또, BTA를 첨가하지 않은 연마액에서는 동배선부가 에칭되어 주변의 절연막부분보다 움푹 들어가는 현상이 관찰되었지만(특히, 질산농도가 1% 이상으로 높은 경우에는 동이 소실되었다), BTA를 첨가한 연마액을 사용하는 것에 의해 도 16의 (b) 와 같이 수10nm 이하로 억제할 수 있었다.
이 연마액에 알루미나숫돌입자를 첨가하면, 0.1중량%를 초과하는 농도로 동연마면에, 1중량%를 초과하는 농도로 실리콘산화막에 긁힘이 발생하였다. 또, 0.5중량%를 초과하는 농도의 연마액에서는 기초TiN층이 5nm인 동박막의 CMP를 실행하면 박리가 발생하였다. 이들의 농도 이하로 알루미나숫돌입자를 저감하는 것에 의해 긁힘과 박리를 방지할 수 있었다. 또, 농도 0.01중량% 이하이면 메가소닉세정만으로 결함수를 100개이하로 저감할 수 있고, 약액에 의한 솔세정은 불필요하게 되는 것을 알 수 있었다.
다음에, 형성된 매립배선의 디싱량과 이로전량의 평가를 실행하였다. 도 6에 도시한 결과와 마찬가지로 알루미나숫돌입자 농도가 0.05중량% 이하로 되면 양값 모두 알루미나숫돌입자가 들어가 있지 않은 연마액과 동등 정도의 값으로 되고 오차의 범위(20nm이하)에서 도 6의 (b)와 일치하였다. 따라서, 이 연마액을 사용해서 CMP를 실행하는 것에 의해 도 4 및 도 17에 도시한 바와 같은 디싱과 이로전을 억제한 매립 배선구조 및 플러그구조를 형성할 수 있었다.
<실시예 10>
본 실시예에서는 실시예 2의 연마액(과산화수소수:30체적%, 능금산:0.15중량%, BTA:0.2중량%)에 의해 적층배선구조를 제작하고 그 효과를 나타내는 실험을 하였다. 비교실험으로서 종래의 알루미나숫돌입자를 1중량% 함유하는 연마액을 사용한 CMP도 실행하였다.
도 14에 종래의 연마액에 의해서 CMP를 실행한 결과 얻어진 2층배선구조를 도시한다. 실리콘기판부(25)에는 소스, 드레인 등의 확산층이 형성된 반도체소자가 제작되어 있지만, 여기에서는 생략해서 기재하지 않는다(도 15∼도 20도 마찬가지). 1층째의 배선(21) 사이의 절연막부(23)에 생긴 디싱(36)이나 이로전(37), 긁힘(38)에 의한 표면의 움푹들어감이 원인으로 되고, 그 위에 성막한 절연막(35) 표면에도 각각 금속막의 연마잔여물(32), (33), (34)가 생기고 그 연마잔여물이 2층째의 동배선(31) 사이에서의 전기적 단락의 문제로서 발생하였다. 또, (39)는 TiN층, (52)는 관통구멍(스루홀)층의 절연막층이다.
한편, 도 15에 도시한 바와 같이 숫돌입자를 포함하지 않은 연마액에 의해 CMP을 실행한 시료에서는 그와 같은 문제는 발생하지 않았다. 또, 동배선상에는 TiN층이 없기 때문에, 동이 실리콘산화막중을 확산하여 반도체소자를 오염시킬 가능성이 있다. 그것을 방지하기 위해서 동배선상에 실리콘질화막을 50nm 형성하고 있지만, 도 14, 도 15중에는 생략해서 기재하지 않는다(도 18, 도 19, 도 20도 마찬가지로 생략하고 있다).
도 18에는 1층째의 배선(21)과 2층째의 배선(31)을 동플러그(40)에 의해서 접속된 부분을 도시하였다. 플러그도 포함해서 각 층에서 상기 연마액에 의해 CMP를 실행하여 제작한 것이다. 도 14에 도시한 바와 같은 디싱이나 이로전, 긁힘에 의한 전기적 단락의 불량문제는 전혀 발생하지 않았다. 또, 연마액은 실시예 1 및 실시예 2, 실시예 9에 기재한 다른 연마액에 의해서도 마찬가지로 다층배선을 형성할 수 있었다.
도 20과 같이 플러그부분을 피복성이 높은 CVD법에 의한 텅스텐막으로 형성할 수도 있다. 단, 텅스텐은 플러그중앙부에 심(43)(키홀 등이라 하는 경우도 있다)이 형성되기 쉽고, 거기에서 연마액이 내부로 스며들어 기초동배선(21)을 순식간에 부식시키는 문제가 발생하는 경우가 있다. 도 20의 (a)에 그 상태를 도시하였다. (44)가 부식한 동배선부이다. 그 때는 텅스텐의 연마액에 동의 방식제 예를 들면 BTA를 첨가하는 것에 의해서, 텅스텐내부에 스며든 연마액이 세정공정에 의해 제거될 때까지 동배선의 부식을 방지할 수가 있었다. 도 20의 (b)에 그 결과를 도시하였다. 또한, 연마액에 숫돌입자가 포함되어 있지 않기 때문에 심내 에 숫돌입자가 잔류하는 일이 없다.
도 19에는 이중상감법(dual damascene method)에 의해서 2층배선을 형성한 시료를 도시하였다. 이것은 1층째의 배선에 대한 플러그와 2층째의 배선을 한번의 연마에 의해 제작하는 기술이다. 여기에서는 l층째의 배선층을 상기 연마액에 의해 연마한 후 플러그와 2층째의 배선층도 상기 연마액에 의해 CMP을 실행하여 제작한 것이다. (41)이 이중상감법에 의해서 형성된 플러그부분이다. 도 14에 도시한 바와 같은 디싱이나 이로전, 긁힘에 의한 전기적 단락의 불량문제는 전혀 발생하지 않았다. 또한, 연마액은 실시예 1 및 실시예 2에 기재한 것에 의해서도 마찬가지로 다층배선을 형성할 수가 있었다.
도 21에는 본 발명의 연마액을 사용해서 실리콘기판의 불순물도프층(45)상에 텅스텐플러그(42)를 형성하여 동배선(2l)과 접속한 상태를 도시하였다. 그의 상층에 상기에서 설명한 바와 같이 다층배선을 형성하는 것에 의해서 각 반도체소자를 접속하고 LSI를 제작하여 동작하는 것을 확인하였다.
본 발명의 연마숫돌입자를 포함하지 않은 연마액에 의해 CMP을 실행하는 방법은 종래의 연마숫돌입자를 포함하는 연마제에 의해 CMP를 실행하는 방법과 비교하여 긁힘이나 박리, 디싱, 이로전을 억제하는 효과가 있고 또한 고도의 세정프로세스나 연마제 공급/처리장치를 필요로 하지 않고 연마제나 연마포 등의 소모품의 비용을 억제하고 또한 실용적인 연마속도로 CMP를 실행하는 것이 가능하다.

Claims (34)

  1. 기판상에 형성된 요철을 갖는 금속막을 연마하여 평탄화하는 반도체장치의 연마방법으로서,
    상기 금속막의 요철부분을 산화성물질에 의해 산화시켜 금속산화물을 형성하고,
    상기 금속산화막을 상기 금속막의 pH-산화환원 전위도에서 pH 및 산화환원 전위가 상기 금속막의 부식영역에 있는 수용화물질에 의해 수용화하여 상기 금속산화막을 용출함으로써 상기 금속막을 연마하고,
    그 연마에 있어서 상기 금속산화물의 볼록부분을 연마포(硏磨布)의 기계적 연마에 의해 국소적으로 가열함으로써 상기 수용화의 반응을 촉진하여, 상기 볼록부분의 금속산화막의 연마속도를 오목부분의 금속산화막의 연마속도 보다 크게 하여,
    상기 요철을 갖는 금속막을 평탄화하는 것을 특징으로 하는 반도체장치의 제조방법.
  2. 제1항에 있어서,
    상기 금속막은, 구리 또는 구리를 주성분으로 하는 금속 또는 구리화합물을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제1항에 있어서,
    상기 산화성물질은, 과산화수소를 포함하는 물질인 것을 특징으로 하는 반도체장치의 제조방법.
  7. 제1항에 있어서,
    상기 수용화물질은 산 또는 그 염을 포함하는 물질인 것을 특징으로 하는 반도체장치의 제조방법.
  8. 제7항에 있어서,
    상기 산은 유기산을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  9. 제8항에 있어서,
    상기 유기산은 구연산, 젖산, 주석산, 프탈산, 초산(酢酸) 중 어느 하나를 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  10. 제1항에 있어서,
    상기 수용화물질은 암모늄화합물을 포함하는 물질인 것을 특징으로 하는 반도체장치의 제조방법.
  11. 제10항에 있어서,
    상기 암모늄화합물은 수산화암모늄인 것을 특징으로 하는 반도체장치의 제조방법.
  12. 삭제
  13. 제1항에 있어서,
    상기 제1 금속층은 구리 또는 구리를 주성분으로 하는 금속 또는 구리화합물을 포함하고, 상기 제2 금속층은 티탄 또는 티탄합금 또는 티탄화합물을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  14. 기판상에 형성된 요철을 갖는 구리막을 연마하여 평탄화하는 반도체장치의 제조방법으로서,
    상기 구리막의 요철부분을 산화성물질에 의해 산화시켜 구리산화물을 형성하고,
    상기 구리산화막을 상기 구리막의 pH-산화환원 전위도에서 pH 및 산화환원 전위가 상기 구리막의 부식영역에 있는 수용화물질에 의해 수용화하여 상기 구리산화막을 용출함으로써 상기 구리막을 연마하고,
    그 연마에 있어서 상기 구리산화물의 볼록 부분을 연마포의 기계적 연마에 의해 국소적으로 가열함으로써 상기 수용화의 반응을 촉진하여, 상기 볼록 부분의 구리산화막의 연마속도를 오목부분의 구리산화막의 연마속도 보다 크게 하여,
    상기 요철을 갖는 구리막을 평탄화하는 것을 특징으로 하는 반도체장치의 제조방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 제14항에 있어서,
    상기 구리막의 산화성물질이 과산화수소를 포함하는 물질인 것을 특징으로 하는 반도체장치의 제조방법.
  19. 제14항에 있어서,
    상기 구리산화막의 수용화물질은 산 또는 그 염을 포함하는 물질에 의해 이루어지는 것을 특징으로 하는 반도체장치의 제조방법.
  20. 제19항에 있어서,
    상기 염은 유기산을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  21. 제20항에 있어서,
    상기 유기산은 구연산, 젖산, 주석산, 프탈산, 초산(酢酸) 중 어느 하나를 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  22. 제14항에 있어서,
    상기 구리산화막의 수용화물질은 암모늄화합물을 포함하는 물질에 의해서 이루어지는 것을 특징으로 하는 반도체장치의 제조방법.
  23. 제22항에 있어서,
    상기 암모늄화합물은 수산화암모늄인 것을 특징으로 하는 반도체장치의 제조방법.
  24. 기판상에 형성된 절연막상에 트랜치를 형성하고, 상기 트랜치 내 및 상기 절연막 상에 제1 금속막과 제2 금속막을 형성하고,
    기판상에 형성된 요철을 갖는 상기 제2 금속막을 연마하여 평탄화하는 반도체장치의 제조방법에 있어서,
    상기 제2 금속막의 요철부분을 산화성물질에 의해 산화시켜 금속산화물을 형성하고, 상기 제2 금속산화막을 상기 제2 금속막의 pH-산화환원 전위도에서 pH 및 산화환원 전위가 상기 제2 금속막의 부식영역에 있는 수용화물질에 의해 수용화하 여 상기 제2 금속산화막을 용출시킴으로써 상기 제2 금속막을 연마하고,
    그 연마에 있어서 상기 제2 금속산화물의 볼록부분을 연마포의 기계적 연마에 의해 국소적으로 가열함으로써 상기 수용화의 반응을 촉진하여, 상기 볼록부분의 제2 금속산화막의 연마속도를 오목부분의 제2 금속산화막의 연마속도 보다 크게하여,
    상기 요철을 갖는 제2 금속막을 평탄화하는 것을 특징으로 하는 반도체장치의 제조방법.
  25. 삭제
  26. 삭제
  27. 삭제
  28. 제24항에 있어서,
    상기 산화성물질은 과산화수소를 포함하는 물질인 것을 특징으로 하는 반도체장치의 제조방법.
  29. 제24항에 있어서,
    상기 수용화물질은 산 또는 그 염을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  30. 제29항에 있어서,
    상기 산은 유기산을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  31. 제30항에 있어서,
    상기 유기산은 구연산, 젖산, 주석산, 프탈산, 초산(酢酸) 중 어느 하나를 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  32. 제24항에 있어서,
    상기 수용화물질은 암모늄화합물을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
  33. 제32항에 있어서,
    상기 암모늄화합물은 수산화암모늄인 것을 특징으로 하는 반도체장치의 제조방법.
  34. 제24항에 있어서,
    상기 제2 금속막은 구리 또는 구리를 주성분으로 하는 금속 또는 구리화합물을 포함하고, 상기 제1 금속막은 티탄 또는 티탄합금 또는 티탄산화합물을 포함하는 것을 특징으로 하는 반도체장치의 제조방법.
KR1020050084557A 1997-10-31 2005-09-12 반도체장치의 제조방법 KR100724023B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-1997-00299937 1997-10-31
JP29993797A JP3371775B2 (ja) 1997-10-31 1997-10-31 研磨方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019980044809A Division KR100624589B1 (ko) 1997-10-31 1998-10-26 연마방법

Publications (2)

Publication Number Publication Date
KR20050101128A KR20050101128A (ko) 2005-10-20
KR100724023B1 true KR100724023B1 (ko) 2007-06-04

Family

ID=17878748

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019980044809A KR100624589B1 (ko) 1997-10-31 1998-10-26 연마방법
KR1020050084557A KR100724023B1 (ko) 1997-10-31 2005-09-12 반도체장치의 제조방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019980044809A KR100624589B1 (ko) 1997-10-31 1998-10-26 연마방법

Country Status (8)

Country Link
US (5) US6117775A (ko)
EP (2) EP1760127A2 (ko)
JP (1) JP3371775B2 (ko)
KR (2) KR100624589B1 (ko)
CN (2) CN1298508C (ko)
DE (1) DE69836612T2 (ko)
SG (1) SG95588A1 (ko)
TW (1) TW380083B (ko)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JP3371775B2 (ja) 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
JP2000040679A (ja) 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
SG99289A1 (en) * 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
JP3033574B1 (ja) * 1999-02-15 2000-04-17 日本電気株式会社 研磨方法
JP4127926B2 (ja) * 1999-04-08 2008-07-30 株式会社荏原製作所 ポリッシング方法
JP2000311876A (ja) * 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
JP2000315666A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4188598B2 (ja) 1999-08-13 2008-11-26 キャボット マイクロエレクトロニクス コーポレイション 停止化合物を伴う研磨系及びその使用方法
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
IL147235A0 (en) * 1999-08-13 2002-08-14 Cabot Microelectronics Corp Chemical mechanical polishing systems and methods for their use
TW501197B (en) 1999-08-17 2002-09-01 Hitachi Chemical Co Ltd Polishing compound for chemical mechanical polishing and method for polishing substrate
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
US6443812B1 (en) 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
KR20020027571A (ko) * 1999-08-24 2002-04-13 갤반 마틴 절연체와 금속의 cmp용 조성물 및 이에 관련된 방법
TWI265567B (en) * 1999-08-26 2006-11-01 Hitachi Chemical Co Ltd Polishing medium for chemical-mechanical polishing, and polishing method
US6492273B1 (en) * 1999-08-31 2002-12-10 Micron Technology, Inc. Methods and apparatuses for monitoring and controlling mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6376381B1 (en) * 1999-08-31 2002-04-23 Micron Technology, Inc. Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
JP4513145B2 (ja) * 1999-09-07 2010-07-28 ソニー株式会社 半導体装置の製造方法および研磨方法
JP4759779B2 (ja) * 1999-09-09 2011-08-31 日立化成工業株式会社 基板の研磨方法
US6620725B1 (en) * 1999-09-13 2003-09-16 Taiwan Semiconductor Manufacturing Company Reduction of Cu line damage by two-step CMP
CN1125862C (zh) * 1999-09-20 2003-10-29 长兴化学工业股份有限公司 半导体加工用化学机械研磨组合物
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US6303506B1 (en) * 1999-09-30 2001-10-16 Infineon Technologies Ag Compositions for and method of reducing/eliminating scratches and defects in silicon dioxide during CMP process
JP3307375B2 (ja) * 1999-10-04 2002-07-24 日本電気株式会社 半導体装置の製造方法
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6720264B2 (en) 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
JP3439402B2 (ja) * 1999-11-05 2003-08-25 Necエレクトロニクス株式会社 半導体装置の製造方法
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
JP3805588B2 (ja) 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
JP3490038B2 (ja) * 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
US6881674B2 (en) 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6943113B1 (en) * 2000-05-11 2005-09-13 Infineon Technologies Ag Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication
JP3945964B2 (ja) * 2000-06-01 2007-07-18 株式会社ルネサステクノロジ 研磨剤、研磨方法及び半導体装置の製造方法
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
JP3837277B2 (ja) 2000-06-30 2006-10-25 株式会社東芝 銅の研磨に用いる化学機械研磨用水系分散体及び化学機械研磨方法
JP4743941B2 (ja) * 2000-06-30 2011-08-10 Jsr株式会社 化学機械研磨用水系分散体
KR100338777B1 (ko) * 2000-07-22 2002-05-31 윤종용 화학 기계적 연마 이후의 구리층 부식을 방지하는 반도체장치 제조방법 및 이에 이용되는 화학 기계적 연마장치
JP2002043256A (ja) * 2000-07-27 2002-02-08 Hitachi Ltd 半導体ウエハ平坦化加工方法及び平坦化加工装置
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
JP2002050595A (ja) 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
EP1307319A2 (en) 2000-08-11 2003-05-07 Rodel Holdings, Inc. Chemical mechanical planarization of metal substrates
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US6605537B2 (en) 2000-10-27 2003-08-12 Rodel Holdings, Inc. Polishing of metal substrates
WO2002024413A2 (en) * 2000-09-20 2002-03-28 Rodel Holdings, Inc. Polishing by cmp for optimized planarization
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
JP2002117670A (ja) 2000-10-04 2002-04-19 Mitsubishi Electric Corp 半導体記憶装置
US6461227B1 (en) * 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6709316B1 (en) 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
KR100407296B1 (ko) * 2000-12-18 2003-11-28 주식회사 하이닉스반도체 티타늄알루미늄나이트라이드의 화학적기계적연마 방법
US6896776B2 (en) 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
JP2004516673A (ja) * 2000-12-22 2004-06-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 上流及び下流の流体供給手段を用いた化学的機械的研磨(cmp)のための方法及び装置
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6579439B1 (en) 2001-01-12 2003-06-17 Southern Industrial Chemicals, Inc. Electrolytic aluminum polishing processes
US6530824B2 (en) * 2001-03-09 2003-03-11 Rodel Holdings, Inc. Method and composition for polishing by CMP
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6568997B2 (en) 2001-04-05 2003-05-27 Rodel Holdings, Inc. CMP polishing composition for semiconductor devices containing organic polymer particles
US6849547B2 (en) * 2001-04-05 2005-02-01 Speedfam Ipec Corporation Apparatus and process for polishing a workpiece
EP1385915A1 (en) 2001-04-12 2004-02-04 Rodel Holdings, Inc. Polishing composition having a surfactant
KR20020083264A (ko) * 2001-04-26 2002-11-02 삼성전자 주식회사 화학적 기계적 연마용 슬러리와 그 제조방법
US6632259B2 (en) 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
JP2002368084A (ja) * 2001-06-12 2002-12-20 Hitachi Ltd 半導体集積回路装置の製造方法
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
US20040197541A1 (en) * 2001-08-02 2004-10-07 Joseph Zahka Selective electroless deposition and interconnects made therefrom
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
TW583731B (en) * 2001-08-23 2004-04-11 Mykrolis Corp Process, system, and liquid composition for selectively removing a metal film
US6812193B2 (en) 2001-08-31 2004-11-02 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US20030077983A1 (en) * 2001-10-12 2003-04-24 International Business Machines Corporation Cleaning polish etch composition and process for a superfinished surface of a substrate
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
WO2003038883A1 (fr) * 2001-10-31 2003-05-08 Hitachi Chemical Co., Ltd. Fluide et procede de polissage
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
KR100445757B1 (ko) * 2001-12-28 2004-08-25 제일모직주식회사 금속배선 연마용 슬러리 조성물
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
JP4187497B2 (ja) * 2002-01-25 2008-11-26 Jsr株式会社 半導体基板の化学機械研磨方法
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
KR20030070191A (ko) * 2002-02-21 2003-08-29 주식회사 동진쎄미켐 안정성 및 탄탈계 금속막에 대한 연마 속도가 우수한화학-기계적 연마 슬러리 조성물
US6821309B2 (en) * 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
JP3749867B2 (ja) * 2002-03-08 2006-03-01 株式会社東芝 アルミニウム系金属用研磨液および半導体装置の製造方法
CN1444259A (zh) * 2002-03-12 2003-09-24 株式会社东芝 半导体器件的制造方法
JP2004006628A (ja) * 2002-03-27 2004-01-08 Hitachi Ltd 半導体装置の製造方法
KR100476890B1 (ko) * 2002-04-11 2005-03-17 삼성전자주식회사 검사패턴 및 이를 이용한 화학적기계적 연마공정 제어방법
JP2003318140A (ja) * 2002-04-26 2003-11-07 Applied Materials Inc 研磨方法及び装置
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
KR100500517B1 (ko) * 2002-10-22 2005-07-12 삼성전자주식회사 반도체 웨이퍼용 cmp 설비
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7390429B2 (en) 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US6936534B2 (en) * 2003-09-17 2005-08-30 Micron Technology, Inc. Method for the post-etch cleaning of multi-level damascene structures having underlying copper metallization
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20050112292A1 (en) * 2003-11-25 2005-05-26 Parker Russell A. Methods for treating at least one member of a microarray structure and methods of using the same
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
US20050139292A1 (en) * 2003-12-31 2005-06-30 Suresh Ramarajan Method and apparatus for minimizing thickness-to-planarity and dishing in CMP
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
JP2005317808A (ja) * 2004-04-28 2005-11-10 Nitta Haas Inc 薄膜研磨用研磨布およびそれを用いる薄膜の研磨方法
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7303993B2 (en) * 2004-07-01 2007-12-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US7384871B2 (en) * 2004-07-01 2008-06-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
JP4292117B2 (ja) * 2004-07-15 2009-07-08 Jsr株式会社 化学機械研磨用水系分散体及び化学機械研磨方法
US7210988B2 (en) 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
JP2006080388A (ja) * 2004-09-10 2006-03-23 Nitta Haas Inc 金属研磨用組成物
WO2006030595A1 (ja) * 2004-09-14 2006-03-23 Hitachi Chemical Company, Ltd. Cmp用研磨スラリー
US7435356B2 (en) * 2004-11-24 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Abrasive-free chemical mechanical polishing compositions and methods relating thereto
US20060110923A1 (en) * 2004-11-24 2006-05-25 Zhendong Liu Barrier polishing solution
US7086935B2 (en) * 2004-11-24 2006-08-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cellulose-containing polishing compositions and methods relating thereto
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
US7381646B2 (en) * 2005-08-15 2008-06-03 Chartered Semiconductor Manufacturing, Ltd. Method for using a Cu BEOL process to fabricate an integrated circuit (IC) originally having an al design
US7442637B2 (en) * 2005-08-15 2008-10-28 Chartered Semiconductor Manufacturing, Ltd Method for processing IC designs for different metal BEOL processes
CN104526536B (zh) * 2005-08-22 2017-09-22 应用材料公司 基于光谱的监测化学机械研磨的装置及方法
US7764377B2 (en) 2005-08-22 2010-07-27 Applied Materials, Inc. Spectrum based endpointing for chemical mechanical polishing
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
TW200720493A (en) 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
US7226345B1 (en) 2005-12-09 2007-06-05 The Regents Of The University Of California CMP pad with designed surface features
US8791019B2 (en) 2005-12-27 2014-07-29 Hitachi Chemical Company, Ltd. Metal polishing slurry and method of polishing a film to be polished
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
CN101584028A (zh) * 2006-04-26 2009-11-18 Nxp股份有限公司 制造半导体器件的方法、由此获得的半导体器件和适合该方法中使用的浆料
JP5168966B2 (ja) * 2007-03-20 2013-03-27 富士通セミコンダクター株式会社 研磨方法及び研磨装置
JP5327050B2 (ja) 2007-07-30 2013-10-30 日立化成株式会社 金属用研磨液及び研磨方法
JP2009088486A (ja) * 2007-08-29 2009-04-23 Applied Materials Inc 高スループット低形状銅cmp処理
KR100949250B1 (ko) * 2007-10-10 2010-03-25 제일모직주식회사 금속 cmp 슬러리 조성물 및 이를 이용한 연마 방법
WO2009107472A1 (ja) * 2008-02-27 2009-09-03 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の再生方法
US8071479B2 (en) * 2008-12-11 2011-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
US8597461B2 (en) * 2009-09-02 2013-12-03 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
US8603862B2 (en) * 2010-05-14 2013-12-10 International Business Machines Corporation Precise-aligned lock-and-key bonding structures
TWI490290B (zh) * 2010-12-23 2015-07-01 Anji Microelectronics Co Ltd Chemical mechanical polishing solution
JP5858333B2 (ja) * 2010-12-24 2016-02-10 日立金属株式会社 金属線材の熱処理装置
JP5808201B2 (ja) * 2011-09-01 2015-11-10 株式会社ディスコ 砥粒埋め込み装置、ラッピング装置及びラッピング方法
JP2014027012A (ja) * 2012-07-24 2014-02-06 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
CN102909639B (zh) * 2012-10-30 2016-02-24 上海新傲科技股份有限公司 半导体衬底的表面处理方法
US20140308814A1 (en) * 2013-04-15 2014-10-16 Applied Materials, Inc Chemical mechanical polishing methods and systems including pre-treatment phase and pre-treatment compositions
CN103646866B (zh) * 2013-11-29 2016-03-02 上海华力微电子有限公司 化学机械抛光装置及方法
JP6206360B2 (ja) * 2014-08-29 2017-10-04 株式会社Sumco シリコンウェーハの研磨方法
KR101677248B1 (ko) 2015-04-24 2016-11-17 (주) 진우테크 웨이퍼 연마장치
KR101693278B1 (ko) * 2015-09-25 2017-01-05 유비머트리얼즈주식회사 슬러리 및 이를 이용한 기판 연마 방법
CN105922084B (zh) * 2016-07-11 2017-11-28 安徽三联学院 一种对金属表面涂层的精磨工艺
CN110509114B (zh) * 2019-08-14 2021-01-05 大连理工大学 一种钨合金的研磨抛光方法
US20220017780A1 (en) * 2020-07-20 2022-01-20 Taiwan Semiconductor Manufacturing Company Ltd. Composition and method for polishing and integrated circuit
CN112067643A (zh) * 2020-09-08 2020-12-11 宁波江丰电子材料股份有限公司 一种高纯铝靶材组件焊接扩散层sem检测的制样方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR880700715A (ko) * 1986-04-05 1988-04-11 연마장치 및 연마방법
KR960033656A (ko) * 1995-03-24 1996-10-22 와다 아끼히로 연마장치

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
EP0265578A1 (en) * 1986-10-30 1988-05-04 Jan-Olof Eriksson A non-abrasive polish or cleaning composition and process for its preparation
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5499733A (en) * 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
JP2580939B2 (ja) 1992-10-15 1997-02-12 日本電気株式会社 埋め込み金属配線の形成方法
JPH0794455A (ja) 1993-09-24 1995-04-07 Sumitomo Metal Ind Ltd 配線の形成方法
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP2585963B2 (ja) 1993-12-10 1997-02-26 日本エクシード株式会社 化合物半導体のための研磨液及びこれを用いた化合物半導体の研磨方法
JP3556978B2 (ja) * 1993-12-14 2004-08-25 株式会社東芝 銅系金属の研磨方法
US5575885A (en) 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP2781954B2 (ja) * 1994-03-04 1998-07-30 メック株式会社 銅および銅合金の表面処理剤
JP2743823B2 (ja) * 1994-03-25 1998-04-22 日本電気株式会社 半導体基板のウエット処理方法
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5674443A (en) * 1994-09-26 1997-10-07 Skc Limited Process for the preparation of polyester film
US5695661A (en) * 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5733176A (en) * 1996-05-24 1998-03-31 Micron Technology, Inc. Polishing pad and method of use
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
US5875507A (en) * 1996-07-15 1999-03-02 Oliver Design, Inc. Wafer cleaning apparatus
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5932486A (en) * 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5972792A (en) 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
US6001269A (en) * 1997-05-20 1999-12-14 Rodel, Inc. Method for polishing a composite comprising an insulator, a metal, and titanium
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6954997B2 (en) * 2003-01-28 2005-10-18 Kor Hockey Ltd. Apparatus, system, and method for engaging toes in footwear

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR880700715A (ko) * 1986-04-05 1988-04-11 연마장치 및 연마방법
KR960033656A (ko) * 1995-03-24 1996-10-22 와다 아끼히로 연마장치

Also Published As

Publication number Publication date
US20070029285A1 (en) 2007-02-08
US6596638B1 (en) 2003-07-22
TW380083B (en) 2000-01-21
EP1760127A2 (en) 2007-03-07
DE69836612D1 (de) 2007-01-25
JP3371775B2 (ja) 2003-01-27
EP0913442A2 (en) 1999-05-06
CN1974129A (zh) 2007-06-06
DE69836612T2 (de) 2007-10-25
US7563716B2 (en) 2009-07-21
KR100624589B1 (ko) 2006-11-30
US20070167015A1 (en) 2007-07-19
KR20050101128A (ko) 2005-10-20
US6117775A (en) 2000-09-12
KR19990037374A (ko) 1999-05-25
US7279425B2 (en) 2007-10-09
EP0913442A3 (en) 2002-03-06
EP0913442B1 (en) 2006-12-13
CN1216727A (zh) 1999-05-19
JPH11135466A (ja) 1999-05-21
CN1298508C (zh) 2007-02-07
US20050074967A1 (en) 2005-04-07
SG95588A1 (en) 2003-04-23
US7132367B2 (en) 2006-11-07

Similar Documents

Publication Publication Date Title
KR100724023B1 (ko) 반도체장치의 제조방법
Kondo et al. Abrasive‐Free Polishing for Copper Damascene Interconnection
KR100514536B1 (ko) 연마방법
EP1163311B1 (en) Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
KR100653797B1 (ko) 반도체 장치의 제조 방법
JP3970439B2 (ja) 半導体装置の製造方法
KR20030078002A (ko) 반도체 장치의 제조 방법
US6071816A (en) Method of chemical mechanical planarization using a water rinse to prevent particle contamination
US6200899B1 (en) Method of cleaning semiconductor wafers after CMP planarization
US6153526A (en) Method to remove residue in wolfram CMP
Tseng Approaches to defect characterization, mitigation and reduction
US20010031558A1 (en) Elimination of pad glazing for al cmp
US20070111517A1 (en) Chemical mechanical polishing process
US20050218008A1 (en) Method of manufacturing semiconductor device
JP2004072099A (ja) 研磨方法
WO2000044034A1 (en) Methods and cleaning solutions for post-chemical mechanical polishing
JP2001135601A (ja) 半導体デバイス平坦化の研磨方法
JP3668694B2 (ja) 半導体装置の製造方法
JP4618267B2 (ja) 半導体装置の製造方法
Shinn et al. Chemical-mechanical polish
US6319095B1 (en) Colloidal suspension of abrasive particles containing magnesium as CMP slurry
US20040229468A1 (en) Polishing method
JP2003324084A (ja) 研磨方法
JP4774669B2 (ja) 研磨液及び研磨方法
JP2000299320A (ja) 配線形成方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130503

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140502

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160427

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 12