CN1974129A - 研磨方法 - Google Patents

研磨方法 Download PDF

Info

Publication number
CN1974129A
CN1974129A CNA2006101670612A CN200610167061A CN1974129A CN 1974129 A CN1974129 A CN 1974129A CN A2006101670612 A CNA2006101670612 A CN A2006101670612A CN 200610167061 A CN200610167061 A CN 200610167061A CN 1974129 A CN1974129 A CN 1974129A
Authority
CN
China
Prior art keywords
lapping liquid
film
metal film
cmp
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101670612A
Other languages
English (en)
Inventor
近藤诚一
本间喜夫
佐久间宪之
武田健一
日野出宪治
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of CN1974129A publication Critical patent/CN1974129A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Abstract

本发明涉及一种研磨方法,包括使用研磨液对金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒,具有在所述金属膜的腐蚀区域的pH和氧化还原电位,所述研磨液含有表面活性剂,其特征在于,所述表面活性剂被机械地从金属膜表面除去,金属氧化物溶于具有在所述金属膜的腐蚀区域的pH和氧化还原电位的研磨液。本发明还涉及一种半导体装置的制造方法,包括:准备具有半导体的基体的工序;在所述基体上形成具有开口部的绝缘膜的工序;在开口部内形成金属膜并覆盖该绝缘膜的工序;用研磨液对所述金属膜进行化学机械研磨的工序。

Description

研磨方法
本申请是申请日为1998年10月30日、申请号为98123672.3、发明名称为研磨方法的申请的分案申请。
技术领域
本发明涉及金属膜的研磨,特别是涉及半导体装置配线工艺中的研磨方法。
背景技术
近年来,伴随着半导体集成电路(以下记作LSI)的高集成化、高性能化,开发了新型的微细加工技术。化学机械研磨(以下记作CMP)法也是其中之一,是在LSI制造工序、特别是多层配线形成工序的层间绝缘膜的平坦化、金属插件的形成、埋入配线的形成中频繁利用的技术。此技术例如在美国专利No.4944836中有所叙述。
此外,最近为了达到LSI的高速性能化,试图想要将配线材料由过去的铝合金变为利用低电阻的铜合金。但是,要对铜合金凭借在铝合金配线的形成中频繁使用的干蚀法进行微细加工是困难的。因此,主要采用在加工形成沟的绝缘膜上堆积铜合金薄膜,再将埋入沟内的部分以外的铜合金薄膜经CMP除去,以形成埋入配线的所谓镶嵌法。此项技术例如在特开平2-278822号公报中有所叙述。
用于配线的铜合金等金属在CMP中所用的研磨剂,一般是以固体磨粒和氧化性物质作为主成分的。一边由氧化性物质的氧化作用使金属表面氧化,一边用固体磨粒将该氧化物机械地除去,这就是基本的CMP的机理。关于这方面的内容,在株式会社科学论坛发行、柏木正弘编集《CMPのサイエンス》1997年8月20日发行的第299页有所叙述。
作为固体磨粒,公知有具有数十~数百nm粒径的氧化铝磨粒和二氧化硅磨粒,但一般市售的金属研磨用的固体磨粒几乎都是前者。作为氧化性物质,一般广泛使用过氧化氢(H2O2)、硝酸铁(Fe(NO3)3)、过碘酸钾(KIO3),这些内容例如在上述的《CMPのサイエンス》第299页到第300页有所叙述。
但是,在使用过去的含金属研磨用固体磨粒作为主成分的研磨剂经CMP形成配线及插件时,会发生以下(1)~(8)中所列举的问题。
(1)在绝缘膜上形成的沟的内部埋入的金属配线的表面中央部分比周边部分过剩研磨,发生凹入现象(以下记作凹陷),或者发生使配线部周围的绝缘膜表面被研磨的现象(以后记作磨蚀(图5(a)(b))。
本来,金属膜研磨用研磨剂的金属/绝缘膜的选择比为10以上时是相当高的,但是该值是使用仅由平坦金属膜形成的试片和仅由平坦绝缘膜形成的试片各自分别进行CMP,而取得的两者的研磨速度比。
可是,在形成了构成配线图案的沟的绝缘膜上堆积金属膜之后的试片,在CMP当中,会发生局部过剩研磨的位置,这是公知的。这是因为,在金属膜的表面上,产生了反映CMP前片表面上构成配线图案的沟的凹凸,在进行CMP时,对应于图形密度而在局部加上了高的压力,使得该部分的研磨速度变快。
因而,凹陷或磨蚀在金属部分的面积大的基片(0.1mm方形程度的面积)和密集配线图案的情况下成为显著的问题。这些在以下文献中有所叙述:电化学协会杂志第141卷第10号,1994年10月,第2842页~第2848页(J.Electrochem.Soc.Vol.141,No.10,October 1994,p.2842~P.2848)。
(2)因研磨用的固体磨粒而发生擦痕(研磨伤)。特别是作为金属研磨磨粒主材料的氧化铝比作为绝缘膜主材料的氧化硅硬度更高。因此,不仅配线用金属膜的表面,而且在因CMP而露出的绝缘膜的表面上也发生擦痕。研磨剂残留在绝缘膜表面的擦痕中,发生因重金属离子污染造成的半导体元件动作不良,或是影响上层配线的加工形状而发生短路不良等。此外,金属膜表面的擦痕成为导电不良或电子迁移耐性劣化的原因。
为防止擦痕,采取了降低研磨荷重和定盘旋转数进行CMP的方法。可是,对于铜那样的软质金属,即使采用这种方法也难以防止擦痕。
通过使用软质研磨布能够减少擦痕,但却使凹陷和磨蚀变大,从而使CMP后的平坦性变差。因此还提出了先用硬质研磨布进行CMP,在其过程中再用软质研磨布进行精研磨的二阶段的CMP。然而此时又产生了生产率降低的新问题。
(3)在进行CMP时,研磨磨粒和金属膜表面之间产生高的磨擦力,因此,在金属膜和基底绝缘层之间,或基底绝缘层内的涂布玻璃(以下称作SOG)和化学气相蒸镀(以下称作CVD)氧化膜之间发生剥落。作为剥落的防止方法,有降低研磨荷重和定盘旋转数的方法,但是若要完全防止,则研磨速度降低研磨时间变长,因此不实用。此外,使用软质研磨布虽然有时也能解决此问题,但凹陷和磨蚀变大,使CMP后的平坦性变差。
(4)在CMP之后,研磨磨粒多数残留在试片表面上,因此在进行下步工序之前要进行洗涤,必须取除异物数达到规定值以下(例如0.2微米以上的异物数每片在100个以下)。因此必需不仅化学洗涤而且机械洗涤二者并用的洗涤机。
这种洗涤技术如图11所示,是非常复杂的,主要进行并用药液的刷子洗涤和高超声波(megasonic)洗涤。刷子材料必须是不损伤金属膜表面的特殊材料,作为药液,例如使用氢氧化铵或氟酸水溶液等。
高超声波洗涤是将800kHz以上的高频振动加到洗涤液中,使磨粒由基体游离的洗涤方法,是比过去的超声波振动(40kHz)的洗涤方法更强力的洗涤手段。在这种洗涤中,有必要施加最少足以使磨粒由基体游离的能量或力。另一方面,必须在不使金属膜和绝缘膜损伤的范围内设定输出。作为以上那样的在CMP后进行洗涤工序的例子,例如在《SemiconductorWorld》1995年5月号的172页中有所叙述。
(5)用于CMP的消耗品成本高。这是由于研磨剂中所用的磨粒的制造成本高,还要极其注意使粒子尺寸一致。特别是氧化铝磨粒的价格比二氧化硅磨粒要高出数倍。
此外,研磨布一般使用发泡聚氨酯。在进行CMP时,研磨磨粒附着在该研磨布上,引起所谓的“堵塞”现象,使研磨速度降低。
为防止这一现象,有必要用固着有适宜金刚石粒子的砂轮(以下记作调理器)利削研磨布表面。因此研磨布的寿命短,成为次于研磨磨粒的高成本消耗品。关于CMP工艺的成本,例如记载于リアライズ最新技术讲座1996年5月《CMP装置及关连材料的最新动向及其问题点》。
(6)作为CMP相关装置及设备的问题,除上述的CMP装置和后洗涤装置以外,还必需有研磨剂供给装置、含研磨剂的废液处理装置,与CMP设备全体有关的成本非常高。还必需设置防止磨粒在研磨剂供给装置中沉淀的搅拌装置,也还必需设置使研磨剂不断循环以免在配管内沉淀的机构。其废液处理成本也高,再利用技术也成为必要。
(7)CMP工艺整体的生产率低也是问题。一般是在CMP装置内进行上述研磨布的调理,再进行将研磨金属膜等的1次CMP时露出的绝缘膜表面的损伤层等除去的2次CMP(抛光研磨)。上述的后洗涤装置有刷子洗涤,因此通常要将各片逐个洗涤。因而CMP工艺整体的生产率成为半导体装置制造工艺中最低的状况。作为CMP工艺整体的过程例子,例如在下列文献中有详细记载:《Semiconductor World》1995年5月号的172页。
(8)尽管CMP装置多量使用构成发尘原因的研磨磨粒,也必须设置在洁净车间内。有必要在CMP装置中设置排气管道等抑制发尘的机构,在洁净车间内设置特别的屋子以维持洁净度,因此也使成本增高。
造成上述问题的原因,全都是由于使用含高浓度的研磨磨粒的研磨剂进行CMP。但是,历来的CMP方法是用氧化性物质使金属表面氧化,再用研磨磨粒将此氧化层以机械方式除去,使由此新露出的金属表面再度氧化,反复进行氧化层的形成/机械除去以提高研磨速度。也就是说,研磨磨粒对于须迅速除去氧化层以产生机械除去的效果是必需的。若不加研磨磨粒,就达不到实用的研磨速度。
在特开平7-233485号公报中,叙述了使用不添加研磨磨粒的研磨液(0.1%(重量)的氨基乙酸和13%(重量)的过氧化氢)实施CMP的比较例。按照其叙述,此时的研磨速度为10nm/min,这一速度是添加氧化铝研磨磨粒的研磨液的约1/10,是添加二氧化硅研磨磨粒的研磨液的约2/7。
图2是在上述公报的基础上进行追加试验的结果。它是为了再现上述公报的结果,使用含有0.1%(重量)的氨基乙酸和过氧化氢的研磨液(不含磨粒,测定研磨速度和腐蚀速度对过氧化氢水浓度的依存性。另外,图2是以30%过氧化氢水的浓度示出的,为与上述公报所述的附图对应,以0.3倍换算即可,作为研磨布,使用ロデ-ル社的硬质布IC1000。定盘(定盘直径:340mm)和托架的旋转数同时为60rpm,研磨荷重定为220g/cm2(与本发明的CMP条件相同)。由图2的结果可看出,在不含磨粒时,最大限度为20nm/min,得不到实用的研磨速度。过氧化氢浓度低时,腐蚀速度快,研磨的稳定性变差。另一方面,如果提高过氧化氢浓度,则稳定性增加,但研磨速度显著降低,由处理能力这一点考虑是不利的。
在进行更详细研究时还发现,静水液腐蚀速度(将静止的试料浸在不搅拌的研磨液中时的腐蚀速度)即使在过氧化氢水的高浓度侧也不完全为0。另外搅拌研磨液同样测定腐蚀速度(搅拌液腐蚀速度:与CMP中的腐蚀速度接近)时,腐蚀速度增加,超过研磨速度的1/2倍。
因而我们知道,通过含有磨粒使研磨速度提高,在不提高研磨速度和搅拌腐蚀速度之比(以下记作速度比)时,不能作为研磨液使用。速度比低时,不接触研磨面的凹部进行腐蚀,损害了平坦性。实际上,使用这些改变过氧化氢水的浓度的研磨液进行CMP,结果需要40分到1小时30分的研磨时间。
图22示出了形成的铜配线的断面图。理应残留在硅氧化膜的加工沟内的铜几乎全被腐蚀溶出,用蛇形配线(配线宽0.3微米至3微米,长度40mm)进行导通试验,合格率为0%。因而,不能将其作为LSI配线使用。其原因是,因为研磨速度慢,在长时间之内进行了腐蚀。
如果提高氨基乙酸的浓度,则研磨速度提高,但同时搅拌腐蚀速度也提高,与上述的结果同样。在上述公报中叙述了,为抑制腐蚀,可以添加氢氧化钾,将研磨液调成pH10.5的碱性。可是,因为氢氧化钾腐蚀硅氧化膜,使选择比降低,引起发生腐蚀的问题。残留的钾离子在绝缘膜中扩散,发生引起半导体元件特性劣化的问题。
以上问题的起因,在于氨基乙酸自身使氧化铜水溶性化的特性低。这是由于,由图9所示的pH-氧化还原电位图(M.Pourbaix,《Atlas ofElectrochemical Equilibria in Aqueous Solutions》)1975年NACE发行的第387页中记载)可知,与铜作为铜离子水溶性化的范围(腐蚀区域)为pH7以下相对照,氨基乙酸由于是中性,其效果是弱的。
图26示出了铜的腐蚀区域和钝态区域中的腐蚀速度的区别。实线表示图9中氧化还原电位相等时的腐蚀速度。作为代表例,标绘出了腐蚀区域中混合柠檬酸和过氧化氢水的研磨液、钝态区域中混合氨基乙酸和过氧化氢水的研磨液的腐蚀速度。以等磨尔比制作两种研磨液进行比较。铜在这样的腐蚀区域中与在钝态区域中比较,以非常快的速度水溶性化即离子化。
关于这一点,在1996年CMP-MIC讨论会予稿集第123页中也有记载。在上述论文中叙述了,实际上氨基乙酸对氧化铜没有腐蚀效果,而若是对氧化铜没有水溶性化的效果,则在进行CMP后露出的绝缘膜上残留氧化铜,成为配线间电气短路的原因。此外,只要是含磨粒的研磨剂,就容易因机械的作用将氧化铜除去。
此外,过去有的金属的腐蚀液处于上述的腐蚀区域中,但不一定能够将其直接作为LSI多层配线形成用的CMP研磨液使用。这是由于使用CMP研磨液反而适合于腐蚀速度慢的。例如,在磨粒加工学会志,1997年,第41卷,第1号,第231页至233页中,记载了有关使用硝酸水溶液的铜表面研磨实验。据说没有磨粒时虽然研磨速度低,但是没有擦痕的发生,因此适于作为研磨液,但该文没有研究这种研磨液的腐蚀速度,也没有形成配线结构。实际上,对此研磨液进行追加试验的结果是,1%硝酸水溶液对铜的静水液腐蚀速度为50nm/min,相对于上述学会志记载的研磨速度约80nm/min而言,没有取得足够大的比值。而且在为形成埋入配线而进行CMP时,作为配线部分理应残留部分的铜也被腐蚀,几乎全部消失。使用这样不控制腐蚀速度的研磨液可以进行研磨,但是不能形成埋入配线。
发明内容
本发明就是鉴于上述有关的问题而完成的,本发明的目的在于,提供一种研磨方法和半导体装置的制造方法,它们能够实现以下的至少1项:(1)埋入配线形成时抑制凹陷和磨蚀的发生,(2)降低擦痕和(3)剥落等损伤,(4)CMP后洗涤的简化,(5)研磨液和研磨布成本的降低,(6)研磨剂供给/处理设备的简化,(7)高生产率化,(8)低发尘化。
上述目的是通过以下技术特征达到的:在金属膜的研磨方法中,使用不含研磨磨粒的、或者即使含有也仅含不足1%(重量)的低浓度研磨磨粒的、pH及氧化还原电位为金属膜的腐蚀区域的研磨液,对金属膜表面进行机械的摩擦。也可根据需要在研磨液中添加抑制腐蚀的物质(防蚀性物质)。
上述目的是通过以下技术特征达到的:使用含有氧化性物质(具有夺取金属的电子提高原子价的作用的物质)、和使氧化物水溶性化的物质的研磨液1,对金属膜表面进行机械的摩擦。另外,此时可以适用于Cu、W、Ti、TiN、Al等的金属膜。
上述目的是通过以下技术特征达到的:使用含有使上述金属水溶性化的物质的研磨液2,对金属膜表面进行机械的摩擦。另外,此时可以主要适用于离子化倾向比氢更低的金属即Al等的金属膜。作为使上述金属水溶性化的物质,可举出盐酸和有机酸等酸、或氢氧化铵等碱。此外,离子化倾向比氢更高的铜,也通过使用氢氧化铵作为使上述金属水溶性化的物质,从而达到上述目的。
由于上述研磨液的pH及氧化还原电位是金属的腐蚀区域,使上述金属水溶性化成为可能,能够减少研磨基板表面上露出的绝缘膜表面中的金属残留。各金属的腐蚀区域记载于所说Pourbaix的pH-氧化还原电位图中。例如在铜的场合,如图9所示,只要是pH<7,氧化还原电位>0.2,就作为Cu2+离子溶解。或者,只要是pH>12.5的碱区域,就作为CuO2 2-离子溶解。因而,在研磨铜时,希望定在任一腐蚀区域。
但是,所说Pourbaix图是H2O系的,研磨液中含有其它反应物时,pH-氧化还原电位图内腐蚀区域的占有范围变化。本发明所示出的腐蚀区域,是以是否也含这样的添加物,以及研磨液是否含有处于腐蚀金属的pH及氧化还原电位范围内的物质来进行定义。研磨液中含有腐蚀性物质和防蚀性物质两者时,前者进入到本发明所示出的腐蚀区域内。
用含上述物质的研磨液1进行CMP时,首先由氧化性物质氧化金属表面,在表面形成薄的氧化层。接着,在供给使氧化物水溶性化的物质时,所说氧化层成为水溶液溶出,使所说氧化层的厚度减小。氧化层变薄的部分再度暴露在氧化性物质中使氧化层的厚度增加,重复这种反应以进行CMP。此时,图4(a)所示的金属表面的凸部50不断受到研磨布的机械摩擦,因此易于除去表面的反应生成物,并且因局部加热使反应促进,上述的氧化/水溶性化的重复反应比凹部49更快地进行。也就是说,凸部50的研磨速度快,从而使其平坦化。
防蚀性物质附着在金属表面抑制凹部的反应,具有最终使平坦性提高的效果。即使添加防蚀性物质,只要研磨液仍处于Pourbaix图的腐蚀区域,在研磨布的摩擦除去了防蚀性物质的金属表面的凸部就进行所说的反应,最终使之平坦化。也就是说,研磨液兼备腐蚀性和防蚀性两者、在CMP中由研磨布的机械摩擦控制两者的效果,这一点是重要的。防蚀性物质向研磨液中的添加浓度,以金属表面凸部附着的防蚀性物质能由研磨布的机械摩擦加以除去的程度为佳。作为添加浓度的大致标准,希望将研磨速度保持在50nm/min以上,并且搅拌腐蚀速度为数nm/min以下(速度比为50的程度)。以这以上的浓度进行添加时,CMP的速度降低。但是,在无添加而研磨速度十分高、并且腐蚀速度小到数nm/min以下时,即使不添加防蚀性物质,也能够以良好的平坦性进行研磨。
历来的CMP方法,是用氧化性物质氧化金属表面,用研磨磨粒机械的除去该氧化层,借此提高研磨速度,与此相对,本发明代之以减低研磨磨粒浓度,通过添加使氧化物水溶性化的物质,从而实质上仅用研磨布的机械摩擦得到实用的研磨速度。
上述(1)至(8)的目的,是以以下的研磨磨粒浓度范围达到的。
上述(1)的抑制凹陷和磨蚀的目的,是通过将上述的研磨磨粒的浓度设定在0.05%(重量)以下而达到的。
上述(2)的在金属膜表面减少发生的擦痕的目的,是通过将上述的研磨磨粒的浓度设定在不足1%(重量)而达到的。
上述(2)的在金属膜表面减少发生的擦痕的目的,是通过将上述研磨磨粒的浓度设定在0.1%(重量)以下而达到的。
上述(3)的减少剥落的目的,是通过将上述研磨磨粒的浓度设定在0.5%(重量)以下而达到的。
上述(4)的提高洗涤性的目的,是通过将上述研磨磨粒的浓度设定在0.01%(重量)以下而达到的。
上述(5)的降低研磨液和研磨布成本的目的,是通过将上述研磨磨粒的浓度设定在0.001%(重量)以下而达到的。
上述(6)的解决研磨剂供给/处理设备问题的目的,是通过将上述研磨磨粒的浓度设定在0.0001%(重量)以下而达到的。
上述(7)的提高生产率的目的,是通过将上述研磨磨粒的浓度设定在0.01%(重量)以下而达到的。
上述(8)的抑制发尘的目的,是通过不添加上述研磨磨粒而达到的。
作为上述的氧化性物质,因为过氧化氢不含金属成分,并且不是强酸,所以最佳。硝酸铁及过碘酸钾虽含金属成分,但氧化力强,有提高研磨速度的效果。
作为使上述氧化物水溶性化的物质有酸,利用其水溶性化作用成为金属离子(例如Cu2+离子)。作为无机酸以硝酸、硫酸、盐酸为代表。
此外,有机酸或其盐毒性低,因此作为研磨液易于使用。例如可举出柠檬酸、苹果酸、丙二酸、琥珀酸、酒石酸、苯二甲酸、马来酸、富马酸、乳酸、庚二酸、己二酸、戊二酸、草酸、水杨酸、葡糖酸、苯甲酸等羟基酸,和甲酸、乙酸、丙烯酸、丁酸、戊酸等羧酸为代表的有机酸及它们的盐。盐具有提高溶解度的效果,希望是不含金属成分的,例如铵盐,或含有不给半导体元件带来不良影响的元素(例如铝等)的盐。
上述酸中,柠檬酸、苹果酸、丙二酸、琥珀酸、酒石酸、甲酸由高研磨速度、低腐蚀速度的观点出发,优选作为本发明的研磨液中使用的酸。
上述的酸中,柠檬酸和苹果酸一般作为食品添加物使用,因为毒性低、作为废液的危害也低、也无臭味、对水的溶解度也高,所以特别优选作为本发明的研磨液中使用的酸。
苯二甲酸对水的溶解度低,因此希望成为盐使用。但是,成盐后pH变化,还必须将研磨液保持在金属的腐蚀区域内。例如在使用苯二甲酸作为铜的研磨液时,如果是邻苯二甲酸分子内的2个羧基中仅置换1个的苯二甲酸氢盐,则对水的溶解度增加,并且可将pH保持在酸性(腐蚀区域),因此适于作为研磨液。置换2个羧基的苯二甲酸盐使研磨液大致呈中性,使CMP速度降低。关于其它的有机酸也是同样。
上述的氧化性物质和使氧化物水溶性化的物质,如果是同时具有两者作用的药剂,则即使是一种也在本发明的范围之内。例如使铜溶解的硝酸就是其中的例子。由于添加药液少,可降低制作研磨液所需要的时间和成本。为提高氧化力,也可以混合过氧化氢那样的其它的氧化性物质。
此外,作为使上述氧化物水溶性化的物质,也可含有氢氧化铵、硝酸铵、氯化铵之一。在研磨液中含有铵离子时,如上所述腐蚀区域变化,即使是pH>4.5,作为Cu(NH3)2+离子也有使铜溶解的作用。另外,涉及Cu-NH3-H2O系的Ph-氧化还原电位图,例如记载于电化学协会杂志,142卷,7号,1995年7月,第2381页(J.Electrochem.Soc.vol.142,No.7,July,1995,P2381)。
作为抑制氧化和腐蚀的物质,可举出防蚀性物质及表面活性剂。只要是混合在研磨液中能抑制腐蚀,并且得到充分的研磨速度的物质即可。特别是作为铜合金的防蚀性物质,苯并三唑(以下记作BTA)效果最大。作为其它实用上所使用的,甲苯并三唑(以下记作TTA)、BTA羧酸(以下记作BTA-COOH)等BTA的衍生物、胱氨酸、卤代乙酸、葡萄糖、十二烷硫醇等也有防蚀效果。
作为表面活性剂,可举出聚丙烯酸铵盐、聚丙烯酸、聚甲基丙烯酸铵、聚甲基丙烯酸等,特别是聚丙烯酸铵盐,由高研磨速度、低腐蚀速度的观点出发,优选作为本发明的研磨液中使用的表面活性剂。
施加上述机械摩擦的方法,可以使用不向研磨液供给1%(重量)以上研磨磨粒的研磨布。研磨布的最适宜的硬度因进行CMP的对象物而异,但例如用镶嵌法形成0.1mm方形的铜电极图、其凹陷的容许量为100nm以下的场合,以进行CMP的荷重将研磨布压贴在0.1mm方形的开口部时,由该开口部压缩研磨布挤出的量为100nm以下为佳。硬质的研磨布是满足此条件的,可以用其以抑制凹陷。另外,所谓镶嵌法,就是在有开口的绝缘膜上形成金属膜后,进行研磨,在开口部内部残留金属膜的技术。
但是,在形成最大1微米程度的插件时,也可以用软质研磨布。研磨布的硬度,只要是在凹陷和剥落不发生的程度下,越高越好,但是配线和插件图案以外的基体表面的凹凸,例如在试片的拐角等处的情况下,则有必要是可追随程度的软硬度的研磨布。
由研磨布供给的研磨磨粒,与研磨液的磨粒浓度同样,对应于上述(1)至8的目的其上限各异。例如,上述(1)的抑制凹陷和腐蚀的目的,通过将磨粒浓度设定在0.05%(重量)以下而达到。
其中,研磨速度为10nm/min以下的研磨液,例如在进行1片800nm金属膜的CMP时,要80分钟,因此为制作配线结构是不实用的,由于没有解决上述的生产率问题和成本问题,因此本发明中不将其定义作为研磨液。
此外,研磨速度和腐蚀速度之比在5倍以上,若可能在10倍以上为佳。如果在其以下,即使研磨速度高,也因CMP中发生的凹陷作用而不能尺寸精度良好地制作配线结构。希望腐蚀速度为数nm/min以下。
本发明在易发生擦痕和凹陷、磨蚀等的铜合金和铝合金的CMP中最为有效,但其它金属CMP,例如在钨及钨合金、钛及钛合金等(特别是氮化钛)中,对减少绝缘膜上发生的擦痕也是有效的。
附图说明
图1是显示实施本发明的CMP装置的图。
图2是显示用过去的方法进行CMP时铜的研磨速度和腐蚀速度对过氧化氢水浓度的依存性的图。
图3是显示用本发明的方法进行CMP时铜的研磨速度和腐蚀速度对过氧化氢水浓度的依存性的图。
图4(a)是显示CMP前试料配线部断面结构的图,(b)是显示CMP后试料配线部断面结构的图,(c)是CMP后试料的平面图。点线是(b)的断面位置。
图5(a)是显示凹陷的图,(b)是显示磨蚀的图。
图6是显示本发明效果的图,(a)是用过去的方法进行CMP的试料的磨蚀量和凹陷量,(b)是用本发明的方法进行CMP的试料的磨蚀量和凹陷量。
图7(a)是用过去的方法进行CMP的试料的断面图,(b)是用本发明的方法进行CMP的试料的断面图。
图8(a)是用过去的方法进行CMP的试料的断面图,(b)是用本发明的方法进行CMP的试料的断面图。
图9是铜的pH-氧化还原电位图。
图10是显示试片上缺欠数对研磨液中氧化铝磨粒的依存性的图。
图11是显示过去的CMP工艺的说明图。
图12显示本发明的CMP工艺的说明图。
图13是显示采用本发明的CMP相关成本减低效果的图。
图14(a)是显示用过去研磨液形成多层配线的试料的断面结构的图,(b)是试料的平面图。点线是(a)的断面位置。
图15(a)是显示用本发明的研磨液形成多层配线的试料的断面结构的图,(b)是试料的平面图。点线是(a)的断面位置。
图16(a)是显示采用超长CMP使配线部腐蚀的试料的断面结构的图,(b)是采用防蚀性物质抑制腐蚀的图。
图17(a)是显示CMP前试料插件部断面结构的图,(b)是显示CMP后试料插件部断面结构的图,(c)是CMP后试料的平面图。点线是(b)的断面位置。
图18(a)是显示用本发明的研磨液形成多层配线的试料的断面结构的图,(b)是试料平面图。点线是(a)的断面位置。
图19(a)是显示用本发明的研磨液经双镶嵌法形成多层配线的试料的断面结构的图,(b)是试料的平面图。点线是(a)的断面位置。
图20(a)是显示用本发明研磨液形成钨插件时基底铜配线因钨的研磨液的浸入而被腐蚀的形态的图,(b)是显示通过向钨的研磨液中添加BTA防止腐蚀的形态的图。
图21是显示用本发明的研磨液在基板的扩散层上形成插件和配线的形态的试料断面图。
图22(a)是使用氨基乙酸系研磨液进行CMP的试料的配线部断面图,(b)是试料的平面图。点线是(a)的断面位置。
图23是显示使用本发明的研磨液由CMP装置的转矩信号强度进行终点检测的结果的图。
图24是显示使用本发明的研磨液由光信号强度进行终点检测的结果的图。
图25是显示使用含磨粒的研磨液进行CMP时硅氧化膜上发生的擦痕个数对研磨荷重的依存性的图。
图26是显示在铜的腐蚀区域和钝态区域中腐蚀速度的差别的图。
附图符号说明
11…研磨定盘,12…试片支座,13…定位环,14…试片,15…研磨液供给口,16…纯水供给口,17…研磨布,18…衬垫,21…Cu,22…TiN,23…第1层的配线层部分的SiO2膜,24…BPSG膜,25…形成杂质掺杂层和绝缘膜的Si基板,31…第2层的铜配线,32…因第1层Cu配线的凹陷而在第2层绝缘膜的凹入处形成的金属膜的研磨残余,33…因第1层Cu配线近傍的腐蚀而在第2层绝缘膜的凹入处形成的金属膜的研磨残余,34…因第1层绝缘膜表面的擦痕而在第2层绝缘膜的凹入处形成的金属膜的研磨残余,35…第2层的SiO2膜,36…第1层的Cu配线的凹陷,37…第1层的Cu配线近傍的腐蚀,38…第1层的绝缘膜表面的擦痕,39…第2层的TiN,40…插件,41…由双镶嵌法形成的插件,42…钨,43…接缝,44…第1层的铜配线的腐蚀部分,45…杂质掺杂层,48…铜溶出后绝缘膜露出的部分,49…金属膜表面的凹部,50…金属膜表面的凸部,52…第1层的配线层和第2层的配线层之间的穿孔层的绝缘膜。
具体实施方式
以下使用附图具体地对本发明进行说明。
实施例1
本实施例对进行铜的CMP形成铜配线的方法进行说明。图1是表示本发明实施例中使用的CMP装置的概略图。这种进行CMP的结构如下:在贴附有研磨布17的定盘11之上,用衬垫18支持试片14的支座12进行旋转。设置定位环13,使得在CMP中试片不脱落。CMP中的研磨荷重定为220g/cm2,定盘和支座的旋转数同时设定在60rpm。但研磨荷重和旋转数不受此限定。一般通过增加荷重和定盘旋转数来加快研磨速度,但如图25所示,这样易于引入擦痕。但是,由于本发明中研磨磨粒浓度低,或者不含研磨磨粒,因此,对于荷重而发生的研磨伤少。研磨布使用ロデ-ル社制的硬质布IC1000。
本发明的研磨液由设在定盘之上的第1供给口15,以约30cc/min的速度滴下到研磨布上,进行CMP。在CMP终了的阶段,关闭第1供给口15,停止研磨液的供给,再由第2供给口16以约3000cc/min的速度供给纯水,进行15~30秒钟的漂洗。然后在不使试片干燥的状态下进行高超声波洗涤,除去研磨液后,将试片干燥。
首先,使用未形成配线图案的试片研究本发明研磨液的研磨特性。试料是在硅片上形成厚度200nm的硅氧化膜后,作为粘接层用喷溅法在真空中使厚度50nm的TiN膜和厚度800nm的Cu膜连续成膜的。试片直径为4英寸。
本实施例中使用的研磨液是混合有氧化性物质及使氧化物水溶性化的物质即有机酸的。作为氧化性物质,使用过氧化氢水(30%H2O2水溶液),作为有机酸,使用柠檬酸。柠檬酸具有对水的溶解度高的优点。为使混合比最佳化,改变浓度研究了研磨速度和腐蚀速度。另外,研磨液的温度为室温。所谓腐蚀速度,是试料浸在研磨液中时铜表面被腐蚀的速度,当CMP中过剩地进行腐蚀时,就形不成配线结构,因此希望尽可能的低。关于腐蚀速度,与图2同样研究了静水液腐蚀速度和搅拌液腐蚀速度。由电阻值变化进行换算,求出研磨速度和腐蚀速度。
图3示出了研究研磨液对过氧化氢水浓度依存性的结果。柠檬酸浓度为0.03%(重量)不变。研磨速度与静水液腐蚀速度之比也合并示出。过氧化氢水的浓度为10%(体积)时,研磨速度显示出最大值84nm/min,但腐蚀速度为5%(体积)以下时则为5nm/min以下的低值,因此若取研磨速度和腐蚀速度之比,在5%(体积)时显示出最高比值30。仅过氧化氢水,或者仅柠檬酸时,呈现出10nm/min以下的研磨速度,对形成理入配线的目的而言不是足够的研磨速度。也就是说必须含有柠檬酸和过氧化氢水二者。因此,使用5%(体积)的过氧化氢水和0.03%(重量)的柠檬酸在纯水中混合的研磨液,制作铜的埋入配线图案。如图9所示,本研磨液处于铜的腐蚀区域。
将形成埋入配线的试料研磨前的断面结构例示于图4(a)。在杂质掺杂层和形成绝缘膜的硅基板25上,形成厚度500nm的BPSG膜(添加硼和磷的硅氧化膜)24和厚度500nm的硅氧化膜23,经由石印工序及干蚀工序,在硅氧化膜23内形成深度500nm的配线用的沟图。在其上作为粘接层将厚度50nm的TiN层22成膜后,在真空中用喷溅法将800nm的铜薄膜21连续成膜。为使段差被覆性良好,再在喷溅装置内于450℃下进行30分钟的真空热处理。在硅基板25上形成源、漏极等杂质掺杂层,但此处省略,不作叙述。
使用将5%(体积)的过氧化氢水和0.03%(重量)的柠檬酸在纯水中混合的研磨液,对此试料进行CMP,结果如图4那样,能够加工成凹陷和磨蚀约50nm以下的形状。测定所形成的铜配线的电阻率,结果得到包含TiN层部分为1.9微欧姆厘米的值。此外,用蛇形配线(配线宽0.3微米至3微米,长度40mm)和螺纹形配线(配线间隔0.3微米至3微米,长度40mm)进行导通/绝缘试验,结果获得大致100%的成品率。
接着叙述用本发明的研磨液形成铜插件的实例。成膜方法和CMP条件与上述的埋入配线形成方法相同。图17(a)~图17(c)中显示所形成的直径0.5微米的铜插件的结构。图17(a)是CMP前的断面结构,图17(b)是CMP后的断面结构,图17(c)是由上面看的结构。在插件的场合,绝缘膜的开口部为1微米以下,因此,即使用软质研磨布(例如ロデ-ル社制的Suba800或XHGM1158),也如图17(b)那样,可以不形成凹陷和磨蚀。当然也可以使用硬质研磨布(IC1000)。
关于CMP的终点检测,可以不成问题地进行。作为终点检测方法,在以CMP装置的研磨定盘或试片支座的旋转转矩变化为基础进行时,得到图23所示出的信号。在经过约350秒的时刻Cu的研磨终了,转入对TiN进行研磨的阶段时转矩信号强度变强,在其后的经过400秒的时刻,强度减弱,可由此作出判定。
此外,也可以基于研磨处理后研磨液的光谱变化进行终点检测。研磨处理前的研磨液是透明的,经过研磨铜,铜离子溶入研磨液,使研磨液变绿。因此,如图24所示,在波长725nm测定研磨处理终了流出的研磨液的光信号强度,结果在研磨终了阶段强度下降,可以检测终点,这是已知的技术。用过去的添加研磨磨粒的研磨液,由于研磨液浑浊,所以用光谱的变化进行测定是困难的。此外,在研磨布的一部分开孔,基于由试片表面的光反射光谱变化进行终点检测也是可能的。此时,若研磨液中还含有磨粒时,由试片表面附着的浑浊的研磨液将噪声带入信号,因此进行测定是困难的。
在本实施例中,使用柠檬酸作为酸,但使用苹果酸、丙二酸、酒石酸、琥珀酸等有机酸代替柠檬酸也能够形成配线结构。
在本实施例中,使用过氧化氢作为氧化剂,但使用硝酸铁或过碘酸钾代替过氧化氢也能够形成配线结构。但是必需有铁和钾的污染对策。
此外,使用含有氢氧化铵、硝酸铵、氯化铵之一的研磨液进行CMP也同样能够形成埋入配线结构。
实施例2
在本实施例中,对于在实施例1使用的研磨液中添加防蚀性物质使研磨特性更加提高的方法进行说明。如图3所示,由于添加防蚀性物质使腐蚀速度降低,研磨速度和腐蚀速度之比变得更高。借此,能够防止CMP中铜表面过剩腐蚀,并且使得防止CMP后铜研磨面被氧化成为可能。
防蚀剂使用BTA。在将5%(体积)过氧化氢水和0.03%(重量)柠檬酸混合在纯水中的研磨液中,添加0.1%(重量)BTA。即使添加了BTA,也几乎不使本研磨液的pH和氧化还原电位发生变化,而处于图9所示的铜的腐蚀区域。与实施例1同样对铜的腐蚀速度进行研究,结果发现,与添加BTA前相比较,可以减低到约6分之1。因此使用这种研磨液,在与实施例1同样的条件下进行CMP,结果能够抑制铜研磨面的腐蚀而形成图4所示的埋入配线。测定了所形成的铜配线的电阻率,结果得到包含TiN层为1.9微欧姆厘米的值。此外,用蛇形配线(配线宽0.3微米至3微米,长度40mm)和螺纹形配线(配线间隔0.3微米至3微米,长度40mm)进行导通/绝缘试验,结果得到大致100%的成品率。
此外,在长时间(例如2倍的时间)进行超长CMP时,使用不添加BTA的研磨液时,观察到如图16(a)那样铜配线部仅约100nm深度的腐蚀,与周边绝缘膜部分相比凹入也减小,而通过使用添加BTA的研磨液,能够如图16(b)那样抑制到数10nm以下。应予说明,超长CMP是为使得在试片全体上没有研磨残留而进行的。
即使上述研磨液的浓度大也能同样制作配线结构。例如将30%(体积)的过氧化氢水和0.15%(重量)的柠檬酸和0.3%(重量)的BTA混合在纯水中的研磨液,效果是良好的。研磨液浓度大时,具有使试片内的研磨均一性提高的效果。与研磨液稀薄时均一性为10%以上相对照,浓溶液的均一性为8%以下。但是,稀薄液具有可廉价制作研磨液的效果。
在本实施例中,作为酸使用柠檬酸,但是使用苹果酸、丙二酸、酒石酸、琥珀酸等有机酸代替柠檬酸也能够形成配线结构。例如使用将30%(体积)的过氧化氢水和0.15%(重量)的苹果酸和0.2%(重量)的BTA在纯水中混合的研磨液也得到良好的效果。
此外,使用氢氧化铵作为水溶性化的物质时,制作添加BTA的研磨液也能够得到上述效果而形成埋入铜配线。
实施例3
本实例中研究减低磨粒浓度造成的对凹陷和磨蚀的抑制效果。准备实施例2的研磨液(5%(体积)的过氧化氢水和0.03%(重量)的柠檬酸和0.1%(重量)的BTA在纯水中混合的研磨液),和作为比较例在此研磨液中加2.5%(重量)氧化铝磨粒(粒径:约200nm)而得到的研磨液。用扫描电子显微镜(SEM)摄取宽0.4微米至90微米、长度400微米的配线断面照片,以测定图5中定义的凹陷量和磨蚀量对配线宽度的依存性。图6(a)(b)示出了计测结果,图7及图8示出了基于SEM观察而绘出的断面形状。
由图6(a)(b)看出,凹陷量、磨蚀量同时随着配线宽度增大而具有变大的倾向,但是由于没有氧化铝磨粒而使凹陷量减低约一半,宽4微米以下配线的磨蚀量减低到用SEM几乎不能观察到的程度(10nm以下)。由图8(a)(b)的比较,在90微米的配线宽时观察到显著的差别。
以下研究凹陷量和磨蚀量对氧化铝磨粒浓度的依存性。两值的计测按照图5所示的定义进行。准备氧化铝磨粒浓度为0.0001%(重量)、0.001%(重量)、0.01%(重量)、0.05%(重量)、0.1%(重量)、0.5%(重量)、1%(重量)的7种研磨液。结果在氧化铝磨粒浓度为0.05%(重量)以下时,两值与不加入氧化铝磨粒的研磨液有同程度的值,误差的范围(20nm以下)与图6(b)的结果一致。由此可知,使用氧化铝磨粒浓度0.05%(重量)以下的研磨液进行CMP,就能够形成抑制凹陷和磨蚀的埋入配线结构。
实施例4
本实施例中研究因磨粒浓度的减低造成的抑制擦痕的效果。准备实施例2的研磨液(5%(体积)的过氧化氢水和0.03%(重量)的柠檬酸和0.1%(重量)的BTA在纯水中混合的研磨液),和向其中添加氧化铝磨粒(粒径:约200nm)0.0001%(重量)、0.001%(重量)、0.01%(重量)、0.05%(重量)、0.1%(重量)、0.5%(重量)、1%(重量)、2.5%(重量)、5%(重量)的研磨液。用这些研磨液进行无配线图案的铜薄膜表面和硅氧化膜表面的CMP。
结果,在用1%(重量)以上的氧化铝磨粒浓度的研磨液进行过CMP的硅氧化膜表面上,用光学显微镜观察到如图25所示那样每个试片上有100~1000个点状擦痕,但在用0.5%(重量)以下的氧化铝磨粒浓度的研磨液进行CMP的试片上,则将其抑制到了数个的程度。擦痕的大小为1微米以下,因此,只要是这种程度的擦痕数,则为了形成配线结构的目的,其水平是不成问题的。
其次研究在铜表面形成的擦痕。在用0.5%(重量)以上的氧化铝磨粒浓度的研磨液进行过CMP的铜表面上,发生可用目视判别的线状的擦痕。随着氧化铝磨粒浓度增加,每个试片的擦痕发生个数呈增加的倾向。在用0.1%(重量)的氧化铝磨粒浓度的研磨液进行CMP的试片上,发生的擦痕数为数个,但是对此试料用SEM进行试片断面观察和用AFM(原子间力显微镜)进行表面的凹凸观察,结果却发现擦痕的深度为100nm的程度。由于埋入铜配线的深度为500nm,所以100nm的擦痕已构成问题。
在用0.1%(重量)以下的氧化铝磨粒浓度的研磨液进行过CMP的铜表面上,消灭了可目视判别的擦痕。用SEM和AFM对这些试料进行观察,结果可知,擦痕的深度为10nm的程度。只要是在这种程度下,就几乎对配线的电阻没有影响。
而且由于能够减低擦痕的发生,所以便可以增加研磨荷重和定盘旋转数,从而也具有可能提高研磨速度的效果。
实际使用磨粒浓度0.1%(重量)以下的研磨液,与实施例1同样形成埋入配线,用蛇形配线(配线宽0.3微米至3微米,长度40mm)和螺纹形配线(配线间隔0.3微米至3微米,长度40mm)进行导通/绝缘试验,结果得到大致100%的成品率。
实施例5
本实施例中研究由于磨粒浓度的减低而造成的抑制剥落的效果。准备实施例2的研磨液(5%(体积)的过氧化氢水和0.03%(重量)的柠檬酸和0.1%(重量)的BTA在纯水中混合的研磨液),和向其中添加氧化铝磨粒0.0001%(重量)、0.001%(重量)、0.01%(重量)、0.05%(重量)、0.1%(重量)、0.5%(重量)、1%(重量)、5%(重量)、10%(重量)的研磨液。试料使用将厚度800nm的铜薄膜以夹入厚度5nm(实施例1的1/10厚度)TiN层的方式在硅氧化膜上用喷溅法成膜的试料。用上述研磨液对该试料进行CMP。
结果,使用1%(重量)以上氧化铝磨粒浓度的研磨液进行CMP的试片,由周边部开始铜层和TiN层之间发生剥落。据认为原因是在氧化铝磨粒和铜表面发生的摩擦力。使用0.5%(重量)以下氧化铝磨粒浓度的研磨液进行CMP的试片,由于摩擦力低,完全不发生剥落。而且,在减少剥落发生时,可以增加研磨荷重和定盘旋转数,具有能够提高研磨速度的效果。
因此,使用磨粒浓度0.5%(重量)以下的研磨液与实施例2同样形成埋入配线。试料使用将图4的TiN层22作成5nm的试料。结果可以在铜薄膜不剥落的情况下形成埋入配线。
实施例6
本实施例中研究由于磨粒浓度的减低所造成的洗涤性提高的效果。准备实施例2的研磨液(5%(体积)的过氧化氢水和0.03%(重量)的柠檬酸和0.1%(重量)的BTA在纯水中混合的研磨液)、和向其中添加氧化铝磨粒0.0001%(重量)、0.001%(重量)、0.01%(重量)、0.05%(重量)、0.1%(重量)、0.5%(重量)、1%(重量)、5%(重量)、10%(重量)的研磨液。用这些研磨液经CMP将硅氧化膜上形成的铜薄膜和TiN薄膜除去,用纯水对在表面显现的硅氧化膜表面进行洗涤,然后用面盘缺陷装置研究残存的氧化铝磨粒(缺陷数)。测定每块试片上的0.2微米以上的缺陷个数。试片的大小为4英寸。
结果可知,如图10所示,随着氧化铝磨粒浓度的减低,缺陷数减少,如果浓度是在0.01%(重量)以下,则仅用高超声波洗涤就可使缺陷数减低到100个以下。过去因为使用1%(重量)以上浓度的氧化铝磨粒的研磨液,所以要并用聚乙烯醇(PVA)的刷子洗涤和高超声波洗涤,以使缺陷数减低到100个以下。因而,使用磨粒浓度0.01%(重量)以下的研磨液进行研磨,就具有减少洗涤工序数目的效果。或者进行与过去同样的洗涤工序,则具有更加减少异物数目的效果。
实施例7
本实施例显示出通过减低磨粒浓度能够减少CMP处理工序数目。图11示出了使用过去的研磨液时的CMP处理工序。在过去的CMP装置中,例如氧化铝磨粒浓度高到1%(重量)以上,为了防止磨粒对研磨布的堵塞,在进行CMP之前,要进行数10秒钟至数分钟的研磨布调理。
此外,为了除去因研磨露出的硅氧化膜等的绝缘表面的损伤层这一目的,在形成埋入配线层的金属CMP之后,要进行数10秒钟至2分钟的绝缘膜的CMP。然后,不使试片干燥便移送到洗涤工序,为除去磨粒进行使用氨液的第1次刷子洗涤。进而为了除去硅氧化膜等绝缘表面的损伤层的金属污染,进行使用稀氟酸(HF)的第2次刷子洗涤。最后通过高超声波洗涤除去磨粒达到目标水平,然后使试片干燥。
图12示出了本发明的使用含有不足0.01%(重量)的低浓度研磨磨粒的研磨液时的CMP工艺的整体。在CMP装置中几乎不发生磨粒的堵塞,因此在使用新研磨布的场合之外,几乎不需要进行调理。如果磨粒浓度变为1/10倍,则研磨布的寿命为原来的10倍。此外,由于没有因硅氧化膜表面的擦痕等造成的损伤层,所以不需要绝缘膜的CMP。在洗涤工序中,仅用高超声波洗涤就可洗涤到过去的水平(缺陷数)。
用全反射萤光X射线对重金属的污染进行评价,关于这一点的结果是:仅用高超声波洗涤可以洗涤达到过去的水平。最终与过去的CMP工艺比较,缩短工艺时间到2分之1的程度。图12的工艺只要磨粒浓度为0.01%(重量)以下,就可以实际使用,但希望是在0.005%(重量)以下。
实施例8
本实施例中研究因磨粒浓度减低而带来的削减研磨布和研磨剂成本的效果。
关于铜CMP用的氧化铝研磨剂,将CMP时间包括超长研磨时间设为5分钟,若以100cc/分的速度将研磨剂供给到CMP装置内,则在1次的CMP中使用1升。或者,研磨布在约400片的CMP中消耗1片。而且在CMP装置之外必需有后步洗涤装置。
使用过去的氧化铝磨粒浓度1%(重量)以上的研磨液进行CMP时,其与CMP相关成本的细目示于图13。与其它的半导体相关装置不同,可知作为消耗品的研磨布和研磨剂的成本占全体的约70%。
与此相对照,在使用本发明的研磨液时,由于将氧化铝磨粒浓度减低到0.001%(重量)以下,所以可以大幅度地削减CMP相关成本。虽然必需有向研磨液中添加药液的成本,但仅为过去的氧化铝研磨剂成本的1/100的程度。研磨布的成本也因过去进行的调理的频度变少而可以得到削减。
关于CMP装置,只要氧化铝磨粒的浓度是0.0001%(重量)以下,就不需要研磨剂供给装置、研磨剂搅拌机构、研磨剂处理装置等设备,如果氧化铝磨粒浓度为0,也就不要洁净车间内的发尘防止对策,与过去的产品比较成本得到削减。关于洗涤装置,由于不要过去的刷子洗涤,所以成本变为原来的一半。由以上的结果可知,由于使用本发明的研磨液,在CMP相关联的全体中,能够削减约70%的成本。
实施例9
本实施例中,对使用硝酸和BTA构成的研磨液形成埋入铜配线的方法进行说明。硝酸对铜有氧化作用,并且由硝酸自身的酸的性质使铜水溶性化是可能的,因此用1种药液可以兼有本发明的2种作用。BTA与实施例2同样,有抑制腐蚀的效果,可以使研磨速度和腐蚀速度之比增高。借此能够防止CMP中铜表面过剩腐蚀,并且可以防止CMP后铜研磨面过度氧化。研磨液的浓度是将硝酸0.2%(体积)、BTA0.01%(重量)在纯水中混合的水溶液。如图9所示,本研磨液处于铜的腐蚀区域。
与实施例1同样研究了铜的腐蚀速度,结果是由于添加BTA可以减低到约6分之1。因此使用这种研磨液,在与实施例1同样的条件下进行CMP,结果可以抑制铜研磨面的腐蚀而形成埋入配线。测定了形成的铜配线的电阻率,结果包含TiN层部分得到1.9微欧姆厘米的值。此外,用蛇形配线(配线宽0.3微米到3微米,长度40mm)和螺纹形配线(配线间隔0.3微米至3微米,长度40mm)进行导通/绝缘试验,结果得到大致100%的成品率。
此外,使用不添加BTA的研磨液时,铜配线部被腐蚀,观察到比周边的绝缘膜部分更凹入的现象(特别是硝酸浓度高到1%以上时铜消失),但通过使用添加BTA的研磨液,则如图16(b)那样,能够抑制到数10nm以下。
向此研磨液中添加氧化铝磨粒时,超过0.1%(重量)时铜表面上、超过1%(重量)时硅氧化膜上发生擦痕。此外,使用超过0.5%(重量)浓度的研磨液,基底TiN层在进行5nm铜薄膜的CMP时发生剥落。减低氧化铝磨粒到这些浓度以下就能够防止擦痕和剥落。而且如果浓度在0.01%(重量)以下,就可以仅用高超声波洗涤将缺陷处减低到100个以下,而不需要使用药液的别子洗涤。
以下,对所形成的埋入配线的凹陷量和磨蚀量进行评价。与图6示出的结果同样,氧化铝磨粒浓度为0.05%(重量)以下时,两个值与不加入氧化铝的研磨液具有同程度的值,误差范围(20nm以下)与图6(b)一致。因而,使用这种研磨液进行CMP,能够形成象图4及图17所示的抑制凹陷和磨蚀的埋入配线结构及插件结构。
实施例10
本实施例中使用实施例2的研磨液(过氧化氢水30%(体积)、苹果酸0.15%(重量)、BTA0.2%(重量))制作叠层配线结构,进行显示其效果的实验。作为比较实验,使用过去的含1%(重量)的研磨液进行CMP。
图14示出了用过去的研磨液进行CMP结果得到的2层配线结构。制作了在硅基板部25上形成源、漏极等扩散层的半导体元件,但这里省略,不予叙述(图15至图20也同样),由第1层的配线21间的绝缘膜部23上产生的凹陷36和磨蚀37、擦痕38造成的表面凹入构成原因,使在其上成膜的绝缘膜35表面上也分别发生金属膜的研磨残余32、33、34,该研磨残余的发生造成第2层的铜配线31间的电气短路的问题。另外,39是TiN层,52是穿孔层的绝缘膜层。
另一方面,如图15所示,用不含磨粒的研磨液进行CMP的试料中不发生这样的问题。另外,在铜配线上没有TiN层,因此铜在硅氧化膜中扩散,有可能污染半导体元件。为防止这种情况,在铜配线上形成50nm的硅氮化膜,但图14、15中省略,不予记载(图18、19、20也同样省略)。
图18示出了将第1层的配线21和第2层的配线31由铜插件40接续的部分。包括插件在内,也是在各层用上述研磨液进行CMP而制作的。由图14所示那样的凹陷和磨粒、擦痕造成的电气短路的不良问题全部没有发生。此外,本研磨液与实施例1及实施例2、实施例9所述的其它研磨液同样,也能够形成多层配线。
如图20那样,在用被覆性高的CVD法得到的钨膜上也能够形成插件部分。但是,钨在插件中央部易形成接缝43(也称作检孔等),因此,研磨液会渗入内部,发生瞬间腐蚀基底铜配线21的问题。图20(a)显示了其形态。44是腐蚀了的铜配线部。此时,可以通过向钨的研磨液中添加铜的防蚀剂例如BTA,直到渗入钨内部的研磨液在洗涤工序中除去,以防止铜配线的腐蚀。图20(b)示出了其结果。而且因为在研磨液中不含磨粒,所以接缝内不会残留磨粒。
图19示出了用双镶嵌法形成2层配线的试料。这是将对于第1层配线的插件和第2层的配线用一次研磨进行制作的技术。这里先用上述研磨液研磨第1层的配线层,然后插件和第2层的配线层也是用上述的研磨液进行CMP制作的。图41是由双镶嵌法形成的插件部分。图14所示的凹陷和磨蚀、擦痕造成的电气短路的不良问题全部没有发生。而且,本研磨液和实施例1及实施例2中所述的也同样,可以形成多层配线。
图21显示了使用本发明的研磨液,在硅基板的杂质掺杂层45上形成钨插件42并与铜配线21接续的形态。在其上层如上述所示出的方式形成多层配线,借此接续各半导体元件制作LSI,以确认进行动作。
用本发明的不含研磨磨粒的研磨液进行CMP的方法,与用过去的含研磨磨粒的研磨剂进行CMP的方法比较,具有抑制擦痕和剥落、凹陷、磨蚀的效果,并且不需要高度的洗涤工艺和研磨剂供给/处理装置,降低了研磨剂和研磨布等消耗品的成本,而且能够以实用的研磨速度进行CMP。

Claims (29)

1、研磨方法,包括使用研磨液对金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒,具有在所述金属膜的腐蚀区域的pH和氧化还原电位,所述研磨液含有表面活性剂,其特征在于,所述表面活性剂被机械地从金属膜表面除去,金属氧化物溶于具有在所述金属膜的腐蚀区域的pH和氧化还原电位的研磨液。
2、如权利要求1所述的研磨方法,其特征在于,所述研磨液含有不足0.5%重量的所述研磨磨粒。
3、如权利要求1所述的研磨方法,其特征在于,所述研磨液实质上不含有所述研磨磨粒。
4、如权利要求1所述的研磨方法,其特征在于,所述表面活性剂是聚丙烯酸铵盐。
5、如权利要求1所述的研磨方法,其特征在于,所述金属膜是选自铜膜、以铜为主要成分的铜合金膜和铜化合物膜中的一种。
6、研磨方法,是将绝缘膜上的金属膜的至少一部分除去的研磨方法,所述研磨方法包括使用研磨液对金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒,并且含有氧化剂、使氧化物水溶性化的物质、表面活性剂,所述研磨液具有在所述金属膜的腐蚀区域的pH和氧化还原电位,其特征在于,所述表面活性剂被机械地从金属膜表面除去,金属氧化物溶于用于研磨金属膜的物质。
7、如权利要求6所述的研磨方法,其特征在于,所述研磨液含有不足0.5%重量的所述研磨磨粒。
8、如权利要求6所述的研磨方法,其特征在于,所述研磨液实质上不含有所述研磨磨粒。
9、如权利要求6所述的研磨方法,其特征在于,所述表面活性剂为聚丙烯酸铵盐。
10、如权利要求6所述的研磨方法,其特征在于,所述金属膜是选自铜膜、以铜为主要成分的铜合金膜和铜化合物膜中的一种。
11、如权利要求6所述的研磨方法,其特征在于,所述金属膜是选自钨膜、以钨为主要成分的钨合金膜和钨化合物膜中的一种。
12、研磨方法,是将绝缘膜上的金属膜的至少一部分除去的研磨方法,所述方法包括使用研磨液对金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒,并且含有氧化剂、苹果酸,所述研磨液具有在所述金属膜的腐蚀区域的pH和氧化还原电位,其特征在于,金属氧化物溶于用于研磨金属膜的苹果酸。
13、如权利要求12所述的研磨方法,其特征在于,所述研磨液含有不足0.5%重量的所述研磨磨粒。
14、如权利要求12所述的研磨方法,其特征在于,所述研磨液实质上不含有所述研磨磨粒。
15、研磨方法,是将绝缘膜上的金属膜的至少一部分除去的研磨方法,所述方法包括使用研磨液对金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒,并且含有氧化剂、苹果酸、表面活性剂,所述研磨液具有在所述金属膜的腐蚀区域的pH和氧化还原电位,其特征在于,所述表面活性剂被机械地从金属膜表面除去,金属氧化物溶于用于研磨金属膜的苹果酸。
16、半导体装置的制造方法,包括:
准备具有半导体的基体的工序;
在所述基体上形成具有开口部的绝缘膜的工序;
在开口部内形成金属膜并覆盖该绝缘膜的工序;
用研磨液对所述金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒,具有在所述金属膜的腐蚀区域的pH和氧化还原电位,所述研磨液含有氧化剂、使氧化物水溶性化的物质、表面活性剂,
其特征在于,所述表面活性剂被机械地从金属膜表面除去,金属氧化物溶于用于研磨金属膜的物质。
17、如权利要求16所述的半导体装置的制造方法,其特征在于,所述研磨液含有不足0.5%重量的所述研磨磨粒。
18、如权利要求16所述的半导体装置的制造方法,其特征在于,所述研磨液实质上不含有所述研磨磨粒。
19、如权利要求16所述的半导体装置的制造方法,其特征在于,所述表面活性剂为聚丙烯酸铵盐。
20、如权利要求16所述的半导体装置的制造方法,其特征在于,所述金属膜是选自铜膜、以铜为主要成分的铜合金膜和铜化合物膜中的一种。
21、如权利要求16所述的半导体装置的制造方法,其特征在于,所述金属膜是选自钨膜、以钨为主要成分的钨合金膜和钨化合物膜中的一种。
22、如权利要求16所述的半导体装置的制造方法,其特征在于,所述方法还包括在研磨所述金属膜的工序后洗净所述基体以提供洗净的基体和干燥所述洗净的基体的工序。
23、如权利要求16所述的半导体装置的制造方法,其特征在于,所述研磨液含有氧化剂和使氧化物水溶性化的物质。
24、半导体装置的制造方法,包括:
准备具有半导体的基体的工序;
在所述基体上形成具有开口部的绝缘膜的工序;
在开口部内形成金属膜并覆盖该绝缘膜的工序;
用研磨液对所述金属膜进行化学机械研磨的工序,所述研磨液含有不足1%重量的研磨磨粒、并且含有氧化剂、苹果酸、表面活性剂,具有在所述金属膜的腐蚀区域的pH和氧化还原电位,
其特征在于,所述表面活性剂被机械地从金属膜表面除去,金属氧化物溶于用于研磨金属膜的苹果酸。
25、如权利要求24所述的半导体装置的制造方法,其特征在于,所述研磨液含有氧化剂。
26、如权利要求24所述的半导体装置的制造方法,其特征在于,所述研磨液含有表面活性剂。
27、如权利要求24所述的半导体装置的制造方法,其特征在于,所述研磨液含有不足0.5%重量的所述研磨磨粒。
28、如权利要求24所述的研磨方法,其特征在于,所述研磨液实质上不含有所述研磨磨粒。
29、半导体装置的制造方法,包括:
准备具有杂质掺杂层的基体的工序;
在所述杂质掺杂层上形成具有开口部的绝缘膜的工序;
在形成了绝缘膜的基体上形成层叠膜的工序,所述层叠膜包括含有氮化钛的金属膜和以铜为主成分的金属膜;
用研磨液对在所述叠层膜上形成的抑制腐蚀或氧化的物质进行机械摩擦的工序,所述研磨液含有少于0.01%重量的氧化铝研磨磨粒、过氧化氢、柠檬酸或苹果酸、和抑制腐蚀或氧化的物质。
CNA2006101670612A 1997-10-31 1998-10-30 研磨方法 Pending CN1974129A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP299937/1997 1997-10-31
JP29993797A JP3371775B2 (ja) 1997-10-31 1997-10-31 研磨方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB981236723A Division CN1298508C (zh) 1997-10-31 1998-10-30 研磨方法

Publications (1)

Publication Number Publication Date
CN1974129A true CN1974129A (zh) 2007-06-06

Family

ID=17878748

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB981236723A Expired - Lifetime CN1298508C (zh) 1997-10-31 1998-10-30 研磨方法
CNA2006101670612A Pending CN1974129A (zh) 1997-10-31 1998-10-30 研磨方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB981236723A Expired - Lifetime CN1298508C (zh) 1997-10-31 1998-10-30 研磨方法

Country Status (8)

Country Link
US (5) US6117775A (zh)
EP (2) EP1760127A2 (zh)
JP (1) JP3371775B2 (zh)
KR (2) KR100624589B1 (zh)
CN (2) CN1298508C (zh)
DE (1) DE69836612T2 (zh)
SG (1) SG95588A1 (zh)
TW (1) TW380083B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107011805A (zh) * 2015-09-25 2017-08-04 优备材料有限公司 浆料和使用其的衬底抛光方法

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JP3371775B2 (ja) 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
JP2000040679A (ja) 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
SG99289A1 (en) * 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
EP2194570A1 (en) * 1998-12-28 2010-06-09 Hitachi Chemical Co., Ltd. Materials for polishing liquid for metal, polishing liquid for metal, mehtod for preparation thereof and polishing method using the same
JP3033574B1 (ja) * 1999-02-15 2000-04-17 日本電気株式会社 研磨方法
JP4127926B2 (ja) 1999-04-08 2008-07-30 株式会社荏原製作所 ポリッシング方法
JP2000311876A (ja) * 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
JP2000315666A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
WO2001012739A1 (en) * 1999-08-13 2001-02-22 Cabot Microelectronics Corporation Chemical mechanical polishing systems and methods for their use
WO2001012741A1 (en) 1999-08-13 2001-02-22 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
TW501197B (en) 1999-08-17 2002-09-01 Hitachi Chemical Co Ltd Polishing compound for chemical mechanical polishing and method for polishing substrate
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
EP1210395B1 (en) * 1999-08-24 2003-10-22 Rodel Holdings, Inc. Compositions for insulator and metal cmp and methods relating thereto
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
AU6731600A (en) 1999-08-26 2001-03-26 Hitachi Chemical Company, Ltd. Polishing compound for chemimechanical polishing and polishing method
US6492273B1 (en) 1999-08-31 2002-12-10 Micron Technology, Inc. Methods and apparatuses for monitoring and controlling mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6376381B1 (en) * 1999-08-31 2002-04-23 Micron Technology, Inc. Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
JP4513145B2 (ja) * 1999-09-07 2010-07-28 ソニー株式会社 半導体装置の製造方法および研磨方法
JP4759779B2 (ja) * 1999-09-09 2011-08-31 日立化成工業株式会社 基板の研磨方法
US6620725B1 (en) * 1999-09-13 2003-09-16 Taiwan Semiconductor Manufacturing Company Reduction of Cu line damage by two-step CMP
CN1125862C (zh) * 1999-09-20 2003-10-29 长兴化学工业股份有限公司 半导体加工用化学机械研磨组合物
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US6303506B1 (en) * 1999-09-30 2001-10-16 Infineon Technologies Ag Compositions for and method of reducing/eliminating scratches and defects in silicon dioxide during CMP process
JP3307375B2 (ja) * 1999-10-04 2002-07-24 日本電気株式会社 半導体装置の製造方法
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6720264B2 (en) * 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
JP3439402B2 (ja) * 1999-11-05 2003-08-25 Necエレクトロニクス株式会社 半導体装置の製造方法
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
JP3805588B2 (ja) 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
JP3490038B2 (ja) * 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
US6881674B2 (en) 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
US6943113B1 (en) * 2000-05-11 2005-09-13 Infineon Technologies Ag Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication
JP3945964B2 (ja) * 2000-06-01 2007-07-18 株式会社ルネサステクノロジ 研磨剤、研磨方法及び半導体装置の製造方法
JP4743941B2 (ja) * 2000-06-30 2011-08-10 Jsr株式会社 化学機械研磨用水系分散体
JP3837277B2 (ja) 2000-06-30 2006-10-25 株式会社東芝 銅の研磨に用いる化学機械研磨用水系分散体及び化学機械研磨方法
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
KR100338777B1 (ko) * 2000-07-22 2002-05-31 윤종용 화학 기계적 연마 이후의 구리층 부식을 방지하는 반도체장치 제조방법 및 이에 이용되는 화학 기계적 연마장치
JP2002043256A (ja) * 2000-07-27 2002-02-08 Hitachi Ltd 半導体ウエハ平坦化加工方法及び平坦化加工装置
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
JP2002050595A (ja) 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
EP1307319A2 (en) * 2000-08-11 2003-05-07 Rodel Holdings, Inc. Chemical mechanical planarization of metal substrates
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US20020042199A1 (en) * 2000-09-20 2002-04-11 Jinru Bian Polishing by CMP for optimized planarization
US6605537B2 (en) 2000-10-27 2003-08-12 Rodel Holdings, Inc. Polishing of metal substrates
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
JP2002117670A (ja) 2000-10-04 2002-04-19 Mitsubishi Electric Corp 半導体記憶装置
US6461227B1 (en) * 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6709316B1 (en) 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6896776B2 (en) 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
KR100407296B1 (ko) * 2000-12-18 2003-11-28 주식회사 하이닉스반도체 티타늄알루미늄나이트라이드의 화학적기계적연마 방법
JP2004516673A (ja) * 2000-12-22 2004-06-03 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 上流及び下流の流体供給手段を用いた化学的機械的研磨(cmp)のための方法及び装置
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6579439B1 (en) 2001-01-12 2003-06-17 Southern Industrial Chemicals, Inc. Electrolytic aluminum polishing processes
US6530824B2 (en) * 2001-03-09 2003-03-11 Rodel Holdings, Inc. Method and composition for polishing by CMP
US7323416B2 (en) 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6568997B2 (en) 2001-04-05 2003-05-27 Rodel Holdings, Inc. CMP polishing composition for semiconductor devices containing organic polymer particles
US6849547B2 (en) * 2001-04-05 2005-02-01 Speedfam Ipec Corporation Apparatus and process for polishing a workpiece
KR20040002907A (ko) * 2001-04-12 2004-01-07 로델 홀딩스 인코포레이티드 계면활성제를 갖는 연마 조성물
KR20020083264A (ko) * 2001-04-26 2002-11-02 삼성전자 주식회사 화학적 기계적 연마용 슬러리와 그 제조방법
US6632259B2 (en) 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
JP2002368084A (ja) * 2001-06-12 2002-12-20 Hitachi Ltd 半導体集積回路装置の製造方法
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
WO2003011479A1 (en) * 2001-08-02 2003-02-13 Mykrolis Corporation Selective electroless deposition and interconnects made therefrom
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
TW583731B (en) * 2001-08-23 2004-04-11 Mykrolis Corp Process, system, and liquid composition for selectively removing a metal film
US6812193B2 (en) 2001-08-31 2004-11-02 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US20030077983A1 (en) * 2001-10-12 2003-04-24 International Business Machines Corporation Cleaning polish etch composition and process for a superfinished surface of a substrate
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
TW200300168A (en) * 2001-10-31 2003-05-16 Hitachi Chemical Co Ltd Polishing fluid and polishing method
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
KR100445757B1 (ko) * 2001-12-28 2004-08-25 제일모직주식회사 금속배선 연마용 슬러리 조성물
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
JP4187497B2 (ja) * 2002-01-25 2008-11-26 Jsr株式会社 半導体基板の化学機械研磨方法
US7524346B2 (en) 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
KR20030070191A (ko) * 2002-02-21 2003-08-29 주식회사 동진쎄미켐 안정성 및 탄탈계 금속막에 대한 연마 속도가 우수한화학-기계적 연마 슬러리 조성물
US6821309B2 (en) * 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
JP3749867B2 (ja) * 2002-03-08 2006-03-01 株式会社東芝 アルミニウム系金属用研磨液および半導体装置の製造方法
CN1444259A (zh) * 2002-03-12 2003-09-24 株式会社东芝 半导体器件的制造方法
JP2004006628A (ja) * 2002-03-27 2004-01-08 Hitachi Ltd 半導体装置の製造方法
KR100476890B1 (ko) * 2002-04-11 2005-03-17 삼성전자주식회사 검사패턴 및 이를 이용한 화학적기계적 연마공정 제어방법
JP2003318140A (ja) * 2002-04-26 2003-11-07 Applied Materials Inc 研磨方法及び装置
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
KR100500517B1 (ko) * 2002-10-22 2005-07-12 삼성전자주식회사 반도체 웨이퍼용 cmp 설비
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7390429B2 (en) 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US6936534B2 (en) * 2003-09-17 2005-08-30 Micron Technology, Inc. Method for the post-etch cleaning of multi-level damascene structures having underlying copper metallization
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20050112292A1 (en) * 2003-11-25 2005-05-26 Parker Russell A. Methods for treating at least one member of a microarray structure and methods of using the same
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
US20050139292A1 (en) * 2003-12-31 2005-06-30 Suresh Ramarajan Method and apparatus for minimizing thickness-to-planarity and dishing in CMP
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
JP2005317808A (ja) * 2004-04-28 2005-11-10 Nitta Haas Inc 薄膜研磨用研磨布およびそれを用いる薄膜の研磨方法
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7384871B2 (en) * 2004-07-01 2008-06-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US7303993B2 (en) * 2004-07-01 2007-12-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
JP4292117B2 (ja) * 2004-07-15 2009-07-08 Jsr株式会社 化学機械研磨用水系分散体及び化学機械研磨方法
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
JP2006080388A (ja) * 2004-09-10 2006-03-23 Nitta Haas Inc 金属研磨用組成物
JPWO2006030595A1 (ja) * 2004-09-14 2008-05-08 日立化成工業株式会社 Cmp用研磨スラリー
US7435356B2 (en) * 2004-11-24 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Abrasive-free chemical mechanical polishing compositions and methods relating thereto
US7086935B2 (en) * 2004-11-24 2006-08-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cellulose-containing polishing compositions and methods relating thereto
US20060110923A1 (en) * 2004-11-24 2006-05-25 Zhendong Liu Barrier polishing solution
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
US7381646B2 (en) * 2005-08-15 2008-06-03 Chartered Semiconductor Manufacturing, Ltd. Method for using a Cu BEOL process to fabricate an integrated circuit (IC) originally having an al design
US7442637B2 (en) * 2005-08-15 2008-10-28 Chartered Semiconductor Manufacturing, Ltd Method for processing IC designs for different metal BEOL processes
US7764377B2 (en) 2005-08-22 2010-07-27 Applied Materials, Inc. Spectrum based endpointing for chemical mechanical polishing
KR101593927B1 (ko) * 2005-08-22 2016-02-15 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 폴리싱의 스펙트럼 기반 모니터링을 위한 장치 및 방법
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US7226345B1 (en) 2005-12-09 2007-06-05 The Regents Of The University Of California CMP pad with designed surface features
KR20080070074A (ko) 2005-12-27 2008-07-29 히다치 가세고교 가부시끼가이샤 금속용 연마액 및 피연마막의 연마 방법
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
EP2022080A2 (en) * 2006-04-26 2009-02-11 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device, semiconductor device obtained herewith, and slurry suitable for use in such a method
JP5168966B2 (ja) * 2007-03-20 2013-03-27 富士通セミコンダクター株式会社 研磨方法及び研磨装置
WO2009017095A1 (ja) 2007-07-30 2009-02-05 Hitachi Chemical Co., Ltd. 金属用研磨液及び研磨方法
JP2009088486A (ja) * 2007-08-29 2009-04-23 Applied Materials Inc 高スループット低形状銅cmp処理
KR100949250B1 (ko) 2007-10-10 2010-03-25 제일모직주식회사 금속 cmp 슬러리 조성물 및 이를 이용한 연마 방법
WO2009107472A1 (ja) * 2008-02-27 2009-09-03 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の再生方法
US8071479B2 (en) * 2008-12-11 2011-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
WO2011028667A2 (en) * 2009-09-02 2011-03-10 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
US8603862B2 (en) * 2010-05-14 2013-12-10 International Business Machines Corporation Precise-aligned lock-and-key bonding structures
TWI490290B (zh) * 2010-12-23 2015-07-01 Anji Microelectronics Co Ltd Chemical mechanical polishing solution
JP5858333B2 (ja) * 2010-12-24 2016-02-10 日立金属株式会社 金属線材の熱処理装置
JP5808201B2 (ja) * 2011-09-01 2015-11-10 株式会社ディスコ 砥粒埋め込み装置、ラッピング装置及びラッピング方法
JP2014027012A (ja) * 2012-07-24 2014-02-06 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
CN102909639B (zh) * 2012-10-30 2016-02-24 上海新傲科技股份有限公司 半导体衬底的表面处理方法
US20140308814A1 (en) * 2013-04-15 2014-10-16 Applied Materials, Inc Chemical mechanical polishing methods and systems including pre-treatment phase and pre-treatment compositions
CN103646866B (zh) * 2013-11-29 2016-03-02 上海华力微电子有限公司 化学机械抛光装置及方法
JP6206360B2 (ja) * 2014-08-29 2017-10-04 株式会社Sumco シリコンウェーハの研磨方法
KR101677248B1 (ko) 2015-04-24 2016-11-17 (주) 진우테크 웨이퍼 연마장치
CN105922084B (zh) * 2016-07-11 2017-11-28 安徽三联学院 一种对金属表面涂层的精磨工艺
CN110509114B (zh) * 2019-08-14 2021-01-05 大连理工大学 一种钨合金的研磨抛光方法
US20220017780A1 (en) * 2020-07-20 2022-01-20 Taiwan Semiconductor Manufacturing Company Ltd. Composition and method for polishing and integrated circuit
CN112067643A (zh) * 2020-09-08 2020-12-11 宁波江丰电子材料股份有限公司 一种高纯铝靶材组件焊接扩散层sem检测的制样方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
WO1987005846A1 (en) * 1986-04-05 1987-10-08 Nagase Iron Works Co., Ltd. Machine and method of grinding molding die
EP0265578A1 (en) * 1986-10-30 1988-05-04 Jan-Olof Eriksson A non-abrasive polish or cleaning composition and process for its preparation
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5499733A (en) * 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
JP2580939B2 (ja) 1992-10-15 1997-02-12 日本電気株式会社 埋め込み金属配線の形成方法
JPH0794455A (ja) 1993-09-24 1995-04-07 Sumitomo Metal Ind Ltd 配線の形成方法
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP2585963B2 (ja) 1993-12-10 1997-02-26 日本エクシード株式会社 化合物半導体のための研磨液及びこれを用いた化合物半導体の研磨方法
JP3556978B2 (ja) * 1993-12-14 2004-08-25 株式会社東芝 銅系金属の研磨方法
US5575885A (en) 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP2781954B2 (ja) * 1994-03-04 1998-07-30 メック株式会社 銅および銅合金の表面処理剤
JP2743823B2 (ja) * 1994-03-25 1998-04-22 日本電気株式会社 半導体基板のウエット処理方法
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5674443A (en) * 1994-09-26 1997-10-07 Skc Limited Process for the preparation of polyester film
JP2820059B2 (ja) * 1995-03-24 1998-11-05 トヨタ自動車株式会社 研摩装置
US5695661A (en) * 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5733176A (en) * 1996-05-24 1998-03-31 Micron Technology, Inc. Polishing pad and method of use
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
US5875507A (en) * 1996-07-15 1999-03-02 Oliver Design, Inc. Wafer cleaning apparatus
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5932486A (en) * 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
US6001269A (en) * 1997-05-20 1999-12-14 Rodel, Inc. Method for polishing a composite comprising an insulator, a metal, and titanium
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6954997B2 (en) * 2003-01-28 2005-10-18 Kor Hockey Ltd. Apparatus, system, and method for engaging toes in footwear

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107011805A (zh) * 2015-09-25 2017-08-04 优备材料有限公司 浆料和使用其的衬底抛光方法
CN107011805B (zh) * 2015-09-25 2020-03-31 优备材料有限公司 浆料和使用其的衬底抛光方法

Also Published As

Publication number Publication date
EP0913442B1 (en) 2006-12-13
KR100724023B1 (ko) 2007-06-04
EP0913442A3 (en) 2002-03-06
US7279425B2 (en) 2007-10-09
CN1216727A (zh) 1999-05-19
CN1298508C (zh) 2007-02-07
US7563716B2 (en) 2009-07-21
US20050074967A1 (en) 2005-04-07
JP3371775B2 (ja) 2003-01-27
US7132367B2 (en) 2006-11-07
EP0913442A2 (en) 1999-05-06
SG95588A1 (en) 2003-04-23
DE69836612T2 (de) 2007-10-25
US6117775A (en) 2000-09-12
KR19990037374A (ko) 1999-05-25
JPH11135466A (ja) 1999-05-21
EP1760127A2 (en) 2007-03-07
TW380083B (en) 2000-01-21
US6596638B1 (en) 2003-07-22
KR20050101128A (ko) 2005-10-20
US20070029285A1 (en) 2007-02-08
KR100624589B1 (ko) 2006-11-30
US20070167015A1 (en) 2007-07-19
DE69836612D1 (de) 2007-01-25

Similar Documents

Publication Publication Date Title
CN1298508C (zh) 研磨方法
CN1216112C (zh) 抛光组合物及使用它的抛光方法
CN1305985C (zh) Cmp用料浆以及制造半导体器件的方法
CN100338741C (zh) 化学机械研磨用水性分散剂及其化学机械研磨方法
CN1738928A (zh) 用于铜、钽和氮化钽的化学机械法平面化的组合物
CN1369530A (zh) 抛光组合物及使用它的抛光方法
CN1646649A (zh) 研磨基材的方法及组合物
CN101045855A (zh) 研磨液及研磨方法
CN1900146A (zh) 化学机械抛光液
CN1863883A (zh) 用于铜的化学机械平坦化的浆料和方法
CN101459124B (zh) 化学机械研磨方法及晶片清洗方法
JP3970439B2 (ja) 半導体装置の製造方法
CN101076575A (zh) 抛光溶液
CN1264201C (zh) 研磨方法及半导体装置的制造方法
CN1645571A (zh) 半导体元件清洗用组合物
US6767274B2 (en) Method to reduce defect/slurry residue for copper CMP
JP2004072099A (ja) 研磨方法
JP3668694B2 (ja) 半導体装置の製造方法
JP4618267B2 (ja) 半導体装置の製造方法
CN1943017A (zh) 金属用研磨液以及使用该研磨液的研磨方法
CN101043020A (zh) 半导体器件的制造方法以及磁头的制造方法
TWI392714B (zh) 銅的化學機械拋光液
JP2003324084A (ja) 研磨方法
US20040229468A1 (en) Polishing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: RENESAS TECH CORP.

Free format text: FORMER OWNER: HITACHI CO., LTD.

Effective date: 20071019

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20071019

Address after: Tokyo, Japan, Japan

Applicant after: Renesas Technology Corp.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Ltd.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication