JPH01245524A - 半導体装置の製造方法及びその装置 - Google Patents

半導体装置の製造方法及びその装置

Info

Publication number
JPH01245524A
JPH01245524A JP63073628A JP7362888A JPH01245524A JP H01245524 A JPH01245524 A JP H01245524A JP 63073628 A JP63073628 A JP 63073628A JP 7362888 A JP7362888 A JP 7362888A JP H01245524 A JPH01245524 A JP H01245524A
Authority
JP
Japan
Prior art keywords
semiconductor device
gas
oxide film
silicon
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP63073628A
Other languages
English (en)
Other versions
JP2768685B2 (ja
Inventor
Katsuya Okumura
勝弥 奥村
Toru Watanabe
徹 渡辺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP63073628A priority Critical patent/JP2768685B2/ja
Priority to EP19890105425 priority patent/EP0335313A3/en
Priority to KR1019890003912A priority patent/KR920006261B1/ko
Priority to US07/329,847 priority patent/US5067437A/en
Publication of JPH01245524A publication Critical patent/JPH01245524A/ja
Priority to US07/759,903 priority patent/US5225036A/en
Application granted granted Critical
Publication of JP2768685B2 publication Critical patent/JP2768685B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、半導体装置の製造方法及びその装置に関し、
特に、中間半導体装置の表面に膜を形成する半導体装置
の製造方法及びその装置に関する。
(従来の技術) 第1の従来例とその問題点 減圧CVD法によって基板上にポリシリコンを堆積する
には、その堆積に先立って、先ず下地としての例えば製
造途中の中間半導体装置の基板表面のポリシリコン層ま
たは不純物の拡散層を化学的に洗浄する。その後、その
基板は水洗されたり大気中に放置されたりし、それによ
って基板表面に自然酸化膜といわれるごく薄い酸化膜が
成長する。このような基板を、CvDによるポリシリコ
ン膜の堆積を行なうための反応管に挿入した際にもさら
にその酸化膜は成長する。この後、CVD法を実行する
と、基板上の酸化膜上にポリシリコン膜が堆積する。こ
のため電気的な導通がとれなくなることがある。以上の
ことは基板がポリシリコンである場合に特に著しい。こ
れを防止するため、CVD法の実施によって基板上にポ
リシリコン膜を堆積した後にイオン注入を行い酸化膜を
破壊して導通をとるいわゆるイオンミキシング法がある
。このイオンミキシング法には、高価なイオン注入装置
を使用しなければならず、且つイオンの照射により素子
へのダメージ付与が誘起されるという欠点がある。
また、CVD法によって形成したポリシリコン膜上にさ
らに窒化シリコン膜を堆積してフィールド酸化時のマス
クとして使用することがある。而して、上記のポリシリ
コン膜上にも酸化膜が形成される。この酸化膜がポリシ
リコン膜と窒化シリコン膜との界面に介在すると、異常
酸化が発生することも少なくない。
第2の従来例とその問題点 シリコン基板に砒素やホウ素等の不純物を拡散させるに
際し、これらの不純物を含んだポリシリコンまたはシリ
コン酸化物等の拡散源をシリコン基板の上に堆積させる
ことによりその不純物を基板の内部に拡散させる方法が
ある。この方法において、上記拡散源とシリコン基板と
の界面に前述のシリコン酸化物層が介在すると、そのシ
リコン酸化物層が拡散バリアとして機能し、不純物のシ
リコン基板への拡散が抑制されてしまう。
前述の拡散源をシリコン基板に堆積するに先立ち、その
シリコン基板を洗浄処理した後に大気にさらすと、その
シリコン基板の表面にごくうすい酸化膜が形成されてし
まうことは前述のとおりである。その酸化膜の存在によ
り、シリコン基板上に不純物が拡散されにくくなる。
第3の従来例とその問題点 アルミニウムを用いた多層配線を行なうには、第1層目
のアルミニウム配線上を被覆する層間絶縁膜に接続孔を
もうけ、その絶縁膜上に第2層目のアルミニウム配線を
堆積する。而して、第1層目のAI配線の表面のうち接
続孔を介して大気に晒されている部分が酸化してアルミ
ナ層となっていることが多い。この酸化物(アルミナ)
に起因して導通歩留りが低下するのが避けられない。こ
れをさけるため、第2層目のAI配線を堆積する直前に
、アルゴンイオンを用いたスパッタエツチング法でその
酸化物(アルミナ)を除去し、大気にさらすことなく第
2層目のAI配線用のアルミニウム膜を堆積する方法が
採られている。しかしながら、この方法には、アルゴン
のイオンビームで半導体基板を照射するという手段を用
いるため、ゲート破壊などのダメージを誘起してしまう
という欠点がある。
第4の従来例とその問題点 WF 6とH2ガスを用いてシリコン上にのみ選択的に
Wを堆積させる選択CVD法がある。その選択CVD法
を実施しようとするシリコンの表面にうすい酸化膜が形
成されていると、そのシリコンの表面にはWは堆積しな
い。また、本来、Wは堆積しないはずの酸化膜の表面に
も、その表面が汚れているとWが不均一ではあるものの
堆積する。
このために、選択CVDの再現性が乏しいという欠点が
あった。
(発明が解決しようとする課題) このように、従来技術には、中間半導体装置の表面に酸
化膜の影響を受けずに新たに別の膜を形成することが実
際上困難であり、これに着目してその酸化膜を除去しよ
うとすると中間半導体装置がダメージを受けるという難
点があった。
本発明は、上記に鑑みてなされたもので、その目的は、
中間半導体装置表面の酸化膜をその装置にダメージを与
えることなく除去して、その状態においてその表面に膜
を形成することのできる半導体装置の製造方法及びその
装置を提供することにある。
〔発明の構成〕
(課題を解決するための手段) 本発明の半導体装置の製造方法は、下地としての中間半
導体装置表面の酸化膜を、ハロゲン化合物ガスと酸素ガ
スとの混合ガスの放電活性種によって、前記酸素ガスの
流量の前記混合ガスの流量に対する比と前記中間半導体
装置の温度とを制御することによりシリコンのエツチン
グ速度とシリコン酸化物のエツチング速度とを可及的に
近づけたエツチング条件下で、除去する工程と、前記酸
化膜を除去した前記中間半導体装置の表面を大気に晒す
ことなく前記表面にJ漠を形成する工程と、を備えるも
のとして構成される。
さらに好ましくは、本発明の半導体装置の製造方法は、
エツチング条件がシリコンのエツチング速度のシリコン
酸化物のエツチング速度に対するエツチング速度比が5
以下のものとして構成される。
そして、そのような比が5以下のエツチング条件は、例
えば、酸素ガスの流量の混合ガスの流量に対する比をY
(%)とし、中間半導体装置の温度をT (℃)とした
ときに、 Y≧−0,13T+106.3 なる式を満足させることによって得られるものとして本
発明の半導体装置の製造方法が構成される。
本発明の半導体装置の製造装置は、 下地としての中間半導体装置表面の酸化膜を、ハロゲン
化合物ガスと酸素ガスとの混合ガスの放電活性種によっ
て、前記酸素ガスの流量の前記混合ガスの流量に対する
比と前記中間半導体装置の温度とを制御することにより
シリコンのエツチング速度とシリコン酸化物のエツチン
グ速度との差を小さくしたエツチング条件下で、除去す
る手段と、前記酸化膜を除去した前記中間半導体装置の
表面を大気に晒すことなく前記表面に膜を形成する手段
と、を備えるものとして構成される。
(作 用) 酸素ガスとハロゲン化合物ガスの混合ガスの流量に対す
る酸素ガスの流量の比と、中間半導体装置の温度との両
方を制御するようにしたので、シリコンとシリコン酸化
物のそれぞれのエツチング速度を可及的に近づけたエツ
チング条件が形成される。このエツチング条件下におい
て、中間半導体装置表面の酸化膜は、混合ガスの放電活
性種によって、その半導体装置にダメージを与えること
なく、除去される。そして、その表面には膜が形成され
る。而して、酸化膜除去後の表面は大気に晒されること
がないので、酸化膜の存在しない状態で良好に膜が形成
される。
上記エツチング条件を、シリコンのエツチング速度のシ
リコン酸化物のエツチング速度に対するエツチング速度
比を5以下のものとすることにより、上記酸化膜の除去
は適正に行われる。
また、エツチング速度比が5以下のエツチング条件は、
酸素ガスの流量の混合ガスの流量に対する比をY(%)
とし、中間半導体装置の温度をT (”C)としたとき
、 Y≧−0,13T+106.3 なる式を満足させることによって得られる。
(実施例) 本発明の詳細な説明するに先立ち、本発明がなされるに
至った背景を説明する。
即ち、ダメージレスで、減圧雰囲気下で、下地膜の表面
酸化層を除去するには、放電プラズマによる活性種を輸
送してエツチングを行なう、いわゆるケミカルドライエ
ツチングが最適である。しかし、通常のケミカルドライ
エツチングでは、ある材料の酸化物のエツチング速度は
通常その材料自身のエツチング速度に比べて桁違いに小
さい。
例えば、シリコンのエツチング速度はシリコン酸化物の
それに比べ約30倍である。そのため、この方法を用い
て表面酸化層を除去しようとすると、どうしても表面酸
化層の厚みにむらが存在する。
そのため、薄い部分では下地が大きくエツチングされて
しまい実用的でない。しかし、ケミカルドライエツチン
グ方式においても、材料とその酸化物とのエツチング速
度の比がかなり小さくなる条件が本発明者らによって見
出された。そこで、この方法を用いることで、下地表面
の酸化膜を有効に除去可能となった。
更に、表面の酸化層及びケミカルドライエツチング法で
除去される汚染層を除去した後に、酸化膜の再成長や再
度の表面汚染を防ぐために、減圧雰囲気を損なうことな
く連続して膜を堆積する装置を用いることで良質な膜を
形成することが可能となった。
本発明は、以上の観点に基づいてなされたものである。
以下に、本発明のいくつかの実施例について説明する。
第1実施例 本実施例は、シリコン又はポリシリコン下地表層の酸化
膜層を除去して、更に連続してポリシリコン、不純物を
含んだポリシリコン、シリコン窒化物、シリコン酸化物
を堆積する装置の例を示し、更にその装置を用いて半導
体装置製造工程に応用した例をいくつか記する。
まず、第1図に示すものは、本実施例における装置の概
要である。この装置は、以下のように機能し、使用され
るものとして構成される。即ち、堆積室としての石英チ
ューブ10内に被堆積基板(シリコンウェーハ)11を
挿入する。次に、排気ポンプ12で石英チューブ10を
減圧し、その石英チューブ10内にHeガス源10Aと
S 1H4ガスglOBからHeとS iH4ガスを導
入する。次に、外部ヒーター13によってチューブ10
内のウェーハ11を600℃前後に加熱する。これによ
りウェーハ11にポリシリコン膜か堆積させられる。以
上が減圧CVD装置であるが、その装置に、プラズマ放
電室14を連結する。
この放電室14には、CF4ガス源14Aと02ガス源
14BからCF  ガスと02ガスが導入管17を介し
て導入できるようになっている。上記ガスを放電室14
に導入した状態において、マイクロ波電源15からの電
力を放電室14に供給゛することにより、前述のガスの
プラズマ16を発生させられる。
このような装置による本発明方法の実施について詳細に
説明する。
まず、ポリシリコンや拡散層が一部露出したシリコンウ
ェーハを、通常の化学薬液を用いた洗浄・水洗・乾燥工
程を経た後に石英チューブ10内に挿入する。そして、
チューブ10内を排気ポンプ12により10 ”Tor
r前後となるまで減圧しながらヒーター13によって6
00℃まで昇温する。
この後、放電室14を排気ポンプ12で排気し、CF4
.02ガスを、0゜/全ガス流量比約5096の条件で
略0 、  I Torrの圧力になるように導入する
。そして、マイクロ波電源15からのマイクロ波電力を
放電室14に印加することによりプラズマを発生させる
。このプラズマからフッ素ガスの活性種F*を堆積室1
0内に導く。このF本によるSi、l!:SiO2のエ
ツチング速度の温度依存性は第2図に示される。即ち、
低温(室温〜150℃)では、SiとSiO2のエツチ
ング速度の差が大きいが、400℃以上になってくると
このエツチング速度の差が縮ってくる。このため、60
0℃まで昇温されたシリコンウェーハに前述のF*を照
射すると、露出していたポリシリコンや拡散層上に形成
されていたごく薄いシリコン酸化膜(50〜100人)
がエツチングされるのに充分な時間エツチングを行なっ
たとしても、シリコンやポリシリコンもこれと同程度し
かエツチングされないことから、エツチング速度の差は
ほぼ無視することができる。
本装置によれば、表面酸化膜層のエツチング後に、減圧
雰囲気を損なわずに連続して減圧CVD法によりポリシ
リコンを堆積することが出来る。
しかも、本装置においては、As、B、P等の不純物を
含んだガスを用いれば、不純物を含んだポリシリコンを
堆積することも可能であるし、又アンモニアを用いるこ
とでシリコン窒化膜の堆積も可能である。
第2実施例 次に、上記第1実施例における装置の一変形として、ポ
リシリコン又はシリコン窒化膜を堆積する減圧CVD装
置において、表面処理室と膜堆積室とを分離して別々に
構成した場合の第2実施例を第3図に基づいて説明する
先に述べたのと同様の被堆積基板としてのつ工−ハがま
ずロード室3−1に導入される。その後、ロード室3−
1は真空排気され、次いでゲート弁3−2が開きウェー
ハ3−3は、第3図に示すように、洗浄室3−4に搬送
される。洗浄室3−4はυト気系3−9によって常に減
圧されている。この洗浄室3−4内で赤外線ヒーター3
−5により石英ガラス窓3−17を通してウェーハー3
−3の温度は600℃まで急速加熱される。その後、洗
浄室3−4と放電室3−6との間のバルブ3−7が開か
れる。それにより、CF4と02の混合ガスが放電室3
−6に導かれ、ここでマイクロ波電源3−8より印加さ
れるマイクロ波電力により放電が励起される。この放電
によって生成された活性種は洗浄室3−4に導かれ、前
記第1実施例と同様にして、ウェーハ3−3の表面上の
シリコン酸化膜を除去する。その後、洗浄室3−4は再
び排気系3−9により排気、減圧される。この後、洗浄
室3−4と堆積室3−10の間のゲート弁3−11を開
き、ウェーハを堆積室3−10へ送る。
この堆積室3−10は排気系3−19で排気されており
、そこでウェーハ3−14は赤外線ヒーター3−12に
より石英ガラス窓3−18を通して約600℃前後にま
で加熱、昇温される。そして、ガス導入系3−13より
S IH4とHeが導入され、減圧CVD法により、ウ
ェーハ13−14上にポリシリコンが堆積される。
上述の動作によりポリシリコン堆積が完了し、ウェーハ
3−14がゲート弁3−20からアンロード室3−16
に搬出された後に、そのガス導入系3−15を開いて、
放電室3−6から活性種を堆積室3−10に導くことに
より、堆積室3−10の壁に付着したポリシリコンをも
有効に除去、洗浄することが可能である。
また、この第2実施例では、ウェーハ3−3゜3−14
の加熱には赤外線ヒーター3−5.3−12を用いたが
、他の加熱手段、例えば抵抗線加熱手段や誘導加熱手段
によっても、もちろん上記と同様の効果が得られる。
更に、上記第2実施例では、洗浄室3−4におけるエツ
チングは、高温条件下で行ったが、放電室3−6への導
入ガスであるCF4と02の比率を変えれば、上記した
場合よりは低い温度、例えば室温でも上記と同様の洗浄
が可能である。
第4図はそれを示すものである。即ち、同図は、室温条
件においてCF4と02の比率を変化させた時のポリシ
リコンとシリコン酸化膜のエツチング速度の変化を示す
ものである。ここに示すように、その比率を増加させる
と、SiとS t O2の両者のエツチング速度の差は
徐々に縮まってくる。
このようなガス条件を用いることにより、室温でもシリ
コン表面の酸化膜を有効に除去出来る。
上記第2実施例の表面処理においては、シリコンとシリ
コン酸化物のエツチング速度を近付けるという点が重要
な点である。本発明者らは、ハロゲンを含む化合物ガス
と酸素ガスと混合したガスで鋭意研究を重ねた結果、重
要なパラメーターはガス流量比と温度であることを知得
した。酸化膜厚やエツチングの均一性から判断して、シ
リコンとシリコン酸化物のエツチング速度比の実用的な
値は5以下であると判断される。これを満たす領域をま
とめて図示したものが第5図の斜線で示す領域である。
この領域を式であられすと、02の全ガス流量比をY(
%)とし、温度をT (℃)とすると、 Y≧−〇、13T+106.3 となる。
次に、上記各実施例の半導体装置製造工程への応用例を
示す。
第6図は、下地シリコン拡散層6−1(同図a−1,a
−2)や不純物を含んだポリシリコン層6−2(同図b
−1,b−2)に直接不純物を含んだポリシリコンで導
通をとる場合の工程を概念的に示すものである。この様
な工程の実施に当っては、同図a−1,b−1に示すよ
うに下地拡散層6−1又はポリシリコン6−2上にシリ
コン酸化膜等の絶縁層6−3が堆積される。その後、そ
れらの絶縁層6−3に導通ポリシリコンをつけるための
開孔6−4が設けられる。この様な状況においては、通
常、ポリシリコン6−2の表面又はシリコン拡散層6−
1の表面には薄い自然酸化膜層6−5が存在する。シリ
コンに不純物が含まれていると、この酸化膜6〜5の形
成は促進される傾向にある。上記酸化膜6−5の上にポ
リシリコンを堆積しても、電気的導通は確保されない。
しかるに、上記各実施例の装置を用いるとこの酸化膜6
−5を除去することができる。その除去後に連続して上
層のポリシリコン6−6を堆積出来、それにより良好な
電気的導通が確保される(同図a−2,b−2)。
次に、上記各実施例のさらに異なる応用例を第7図に示
す。同図は、シリコン基板への不純物拡散工程に用いた
場合を示すものである。同図(a)に示すように、シリ
コン基板7−1上に As、P、B等の不純物を含んだ
シリコン酸化物7−2を堆積する。その後、熱処理によ
りシリコン酸化物7−2中の不純物をシリコン基板7−
1に拡散させる。上記の工程において、基板7−1の表
面に酸化膜7−3が残存していると、その部分での拡散
が阻害される(第7図a)。しかるに、上記第1実施例
の実施により、同図(b)に示すように、シリコン基板
7−1中への不純物拡散を一様に行なうことが可能とな
る。
さらに、上記各実施例は、ここには図示しないが、シリ
コン窒化膜形成工程へも応用することができる。この工
程は、シリコン基板上にシリコン酸化膜、ポリシリコン
、シリコン窒化膜の順で堆積を行なう。次に、シリコン
窒化膜の所定パターンを形成し、これを選択酸化のマス
クとして使用する。それにより、フィールド領域を形成
するという工程である。この工程において、上記各実施
例を実施すれば、ポリシリコン上のシリコン酸化膜を除
去して、フィールド酸化の際の異常酸化を防止し、微細
なフィールド領域を形成することができる。
第3実施例 ロードロック機構を有するスパッタリング装置に前述の
洗浄手段をくみこんだ第3実施例を第8図に示す。
同図において、機密性がある洗浄室8−1に被堆積基板
であるシリコンウェーハ8−2がロード室8−3より搬
入される。そのウェー/へ8−2は、外部におかれた赤
外線ヒーター8−4により石英ガラス窓8−5を通して
加熱される。またこの洗浄室8−1は排気ポンプ8−6
により真空可能に構成されている。このような洗浄室8
−1には放電室8−7が連結されている。この放電室8
−7は第1実施例と同様に構成されたものである。この
ような洗浄室8−1に隣接して通常のスパッタリングが
行われる堆積室8−8が設けられている。
この堆積室8−8には、スパッタリングターゲット8−
9、該ターゲットに対向するシリコンウェーハ8−10
、Arガスを導入してターゲット8−9の表面側にプラ
ズマを発生させるための直流電源8−11、さらに真空
にするための排気ポンプ8−12がそれぞれ設けられて
いる。8−13はマイクロ波電源、8−15.8−18
゜8−19はゲート弁である。
次に、この様な装置を用いてアルミニウムの多層配線工
程を実施する場合、特に、第2層アルミニウムを形成す
る場合について、第9図を参照して説明する。
第9図は、ウェーハ9−0 (8−2)の断面概念図を
示すものである。同図において、第1層のA1配線9−
1が既に形成され、このAl配線9−1上に層間絶縁層
9−2が堆積されている。その絶縁層9−2には、その
層9−2上に形成される第2層のAI配線(図示せず)
と第1層のAl配線9−1とを接続するための、接続孔
9−3が穿設されている。而して、第1のAl配線9−
1の表面のうち接続孔9−3に晒された部分に酸化膜9
−4が形成されている。
このようなウェーハ9−0 (8−2)を、第8図に示
すように、ロード室8−3から洗浄室8−1へ入れる。
そして、洗浄室8−1及び放電室8−7を排気系8−6
により高真空に排気する。その後、CI 2、N F 
3.02の混合ガスを放電室8−7に導入し、0 、 
 I Torr前後の圧力を保ち、マイクロ波電源8−
13によりマイクロ波電力を印加する。それにより、放
電室8−7に放電が励起され、放電室8−7中に多くの
活性種が形成される。その活性種は、導入系8−14を
通って洗浄室8−1の内部に導かれる。一方、洗浄室8
−1の内部では、ウェーハ8−2の表面は赤外線ヒータ
ー8−4により約450℃に加熱、昇温されている。こ
の様な高温では、ウェーハ8−2 (9−0)の第1層
のAl配線9−1(第9図参照)の表面に形成されてい
る酸化膜(アルミナ層)9−4は、塩素(CI)又は弗
素(F)のラジカルを含む活性種により容易にエツチン
グ、除去される。又、このような高い温度では、その活
性種とAI及びアルミナ層との反応速度はほぼ等しい。
そのため、薄い表面のアルミナ層9−4の除去に際して
も下地のAl配線9−1はほとんど損傷を受けない。そ
のような洗浄工程が完了した後に、そのウェーハ8−2
をゲート弁8−15を開いて堆積室8−8に搬入する。
その堆積室8−8内で第2層のAl配線用のアルミニウ
ム膜をスパッタ堆積する。このようにして第2層のAI
配線を形成すると、第1層と第2層のA1配線の導通歩
留りは99.9999%と著しく向上したのが確認され
た。
第8図に示す装置では、洗浄室8−1と堆積室8−8と
を分離して設けたが、それらの室8−1゜8−8を同一
のチャンバー室として、洗浄と堆積を連続処理により行
なってもよい。即ち、その場合は、反応室(同一のチャ
ンバー室)にウエーノ\が導かれると、反応室は真空に
排気され、同時にウェーハは加熱される。そして、放電
室8−7より活性種が反応室に導入され、第1層のAl
配線9−1上のアルミナ層9−4が除去される。しかる
後に、もう−度反応室は高真空まで排気されてから、ア
ルゴン等のガスが導入され、DC放電に伴うスパッター
により第2層のA!配線のためのアルミ層が堆積される
第4実施例 ロードロック機構を有するコールドウオール型の減圧C
VD装置による、第4実施例を第10図を用いて説明す
る。同図に示す装置の洗浄室1〇−1は、第8図の装置
の洗浄室8−1と同じように構成されている。その洗浄
室10−1に隣接して、タングステンの選択CVDを実
行するための堆積室10−2が設けられている。その堆
積室10−2には、排気ポンプ10−3、赤外ヒーター
10−4、石英ガラス窓10−5が設けられており、そ
の室10−2にはWF6とH2とが導入可能となってい
る。さらに、図中、10−6は排気ポンプ、10−8は
マイクロ波電源、10−9は赤外ヒーター、10−10
は石英ガラス窓、10−11はロード室、10−12.
10−13はウェーハ、10−15〜10−17はゲー
ト弁をそれぞれ表わす。
次に、このような装置によるウェーハの処理について説
明する。まず、コンタクトホールが開孔されたシリコン
ウェーハを、通常の化学薬液を用いた洗浄、水洗、乾燥
工程を通した後洗浄室10−1に搬入する。第8図の場
合と同様にして、洗浄室10−1には放電室10−7で
励起された活性種が導入される。それらの活性種により
、まず、コンタクトホールを有するものについてはその
ホールの底部において大気に露呈しているシリコン表面
上の薄いシリコン自然酸化膜は容易にエツチング除去さ
れる。これにより、シリコン表面は酸化膜のない清浄面
となっている。また、コンタクトホールを有しないもの
においては、シリコンの表面がシリコン酸化膜で被われ
且つそのシリコン酸化膜の表面はかなり汚染された状態
にある。その汚染の原因の主な物は真空ポンプオイルに
代表される有機物である。しかるに、上記CF4.02
の混合ガスによる放電活性種は、これらの有機物をも効
果的にエツチング除去することが出来る。そして、その
混合ガスはさらにシリコン酸化膜表面もわずかにエツチ
ングする。これにより、シリコン表面のlη染層は完全
に除去され、本来の清浄なシリコン酸化膜が露呈される
この様な状態で洗浄室10−1は排気ポンプ10−6で
排気され、ウェーハ10−12は堆積室10−2へ搬送
される。堆積室10−2は排気ポンプ10−3であらか
じめ真空に保たれており、ウェーハ10−13の表面は
清浄なままである。
その堆積室10−2にWF6、H2ガスが導入されて通
常の条件でWをコンタクトホール内に選択的に堆積させ
る。
上記プロセスにおいて、シリコン及びシリコン酸化膜の
表面はその本来の性質が発揮されるように清浄に保たれ
ており、表面状態に敏感なWの選択堆積をすぐれた再現
性で実現することが出来る。
上記プロセスを実施するに際しても第8図の装置の変形
例の場合と同様に、1つの反応室で時系列に反応を行な
ってもかまわない。
さらに、また、エピタキシャル成長を行なう堆積装置に
、前述の様な放電室を併設し、堆積前に堆積面について
放電活性種によるドライクリーニングを500〜600
℃の比較的低温で施し、その後にエピタキシャル成長を
させてもよい。
第3.8.10図の装置による処理例では、シリコン又
はアルミ表面の酸化層の除去を扱い、又酸化層除去のた
めのガスはCF4、N F 3、CI 2であった。し
かしながら、本発明の実施に当っては、下地材の表面の
酸化層を除去して上層との接合を改善したり、又上層の
膜の質を良くするためのものであれば他の物質、例えば
高融点メタルやそのシリコン化合物に適用可能であり、
酸化膜除去のためにはハロゲンを含む他の化合物ガス、
例えばCHF  やCFCl3等でもかまわない。
第5実施例 シリコン基板を洗浄し清浄なシリコン表面を露呈させた
としても、その後大気に露出させると、大気中に浮遊し
ているハイドロカーボンミストや硫酸・塩酸ミストまた
はオイルミストが清浄済のシリコンの表面に吸着して、
再汚染される。この不純物の吸着後に、さらにその不純
物上にゲート酸化膜のような薄い酸化膜を成長させよう
としても、良好な酸化膜が得られないことが発生する。
このような不純物吸着を逃れるために、前述の洗浄方法
を酸化装置に組みこんだ例を以下に説明する。
即ち、第1図に示す装置を用いる。そして、シリコン基
板を石英チューブ10内に挿入し、減圧して前述の場合
と同様にしてシリコン基板を洗浄する。その後、酸化性
ガス、例えば02またはH2Oを石英チューブ10内に
導入し、シリコンを酸化させることによりシリコン酸化
膜を成長させる。このようにして成長させた膜は再現性
よく、耐圧の良好なゲート酸化膜として機能させ得るも
のである。
〔発明の効果〕
本発明によれば、酸素ガスとハロゲン化合物ガスの混合
ガスの流量に対する酸素ガスの流量の比と、中間半導体
装置の温度との両方を制御するようにしたので、シリコ
ンとシリコン酸化物のそれぞれのエツチング速度を可及
的に近づけたエツチング条件を容易に形成することがで
き、そのような条件下において中間半導体装置表面の酸
化膜を、その半導体装置にダメージを与えることなく除
去し、その状態で新たに膜を形成することができる。
【図面の簡単な説明】
第1図は本発明の装置の第1実施例の全体構成図、第2
図はCF4102混合ガスのマイクロ波放電プラズマに
よるシリコン(St)及びシリコン酸化膜(S iO2
)のエツチング速度の温度依存性を示すグラフ、第3図
は本発明の装置の第2実施例の全体構成図、第4図はC
F4102ガスのマイクロ波放電プラズマによるSt及
びSiOのエツチング速度のガス流量比(02/CF4
+02)依存性を示すグラフ、第5図は基板材料とその
酸化物とのエツチング速度の比率が5以下になるための
エツチング条件の領域を示すグラフ、第6図及び第7図
はそれぞれ上記各実施例の装置による半導体装置の製造
工程の一部を示す工程断面図、第8図は本発明の装置の
第3実施例全体構成図、第9図はその第3実施例の装置
による半導体装置の製造工程の一部を示す工程断面図、
第10図は本発明の装置の第4実施例を示す全体構成図
である。 出願人代理人  佐  藤  −雄 鳥3図 エッチジグ速度(人/min) 02/CF4+02t+′スブ久量毘C%)馬4図 基板温度(”Cl 叶−2)               (b−23寓
6図 (0)                (b)舅、7
図 罠8図 地9図 地10図

Claims (1)

  1. 【特許請求の範囲】 1、下地としての中間半導体装置表面の酸化膜を、ハロ
    ゲン化合物ガスと酸素ガスとの混合ガスの放電活性種に
    よって、前記酸素ガスの流量の前記混合ガスの流量に対
    する比と前記中間半導体装置の温度とを制御することに
    よりシリコンのエッチング速度とシリコン酸化物のエッ
    チング速度とを可及的に近づけたエッチング条件下で、
    除去する工程と、 前記酸化膜を除去した前記中間半導体装置の表面を大気
    に晒すことなく前記表面に膜を形成する工程と、 を備えることを特徴とする半導体装置の製造方法。 2、エッチング条件が、シリコンのエッチング速度のシ
    リコン酸化物のエッチング速度に対するエッチング速度
    比が5以下であることを特徴とする請求項1記載の半導
    体装置の製造方法。 3、エッチング速度比が5以下のエッチング条件は、酸
    素ガスの流量の混合ガスの流量に対する比をY(%)と
    し、中間半導体装置の温度をT(℃)としたときに、 Y≧−0.13T+106.3 なる式を満足させることによって得られるものであるこ
    とを特徴とする請求項2記載の半導体装置の製造方法。 4、下地としての中間半導体装置表面の酸化膜を、ハロ
    ゲン化合物ガスと酸素ガスとの混合ガスの放電活性種に
    よって、前記酸素ガスの流量の前記混合ガスの流量に対
    する比と前記中間半導体装置の温度とを制御することに
    よりシリコンのエッチング速度とシリコン酸化物のエッ
    チング速度との差を小さくしたエッチング条件下で、除
    去する手段と、 前記酸化膜を除去した前記中間半導体装置の表面を大気
    に晒すことなく前記表面に膜を形成する手段と、 を備えることを特徴とする半導体装置の製造装置。
JP63073628A 1988-03-28 1988-03-28 半導体装置の製造方法及びその装置 Expired - Fee Related JP2768685B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP63073628A JP2768685B2 (ja) 1988-03-28 1988-03-28 半導体装置の製造方法及びその装置
EP19890105425 EP0335313A3 (en) 1988-03-28 1989-03-28 Method of manufacturing semiconductor device and apparatus for use in practicing the method
KR1019890003912A KR920006261B1 (ko) 1988-03-28 1989-03-28 반도체장치의 제조방법 및 그 장치
US07/329,847 US5067437A (en) 1988-03-28 1989-03-28 Apparatus for coating of silicon semiconductor surface
US07/759,903 US5225036A (en) 1988-03-28 1991-09-13 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63073628A JP2768685B2 (ja) 1988-03-28 1988-03-28 半導体装置の製造方法及びその装置

Publications (2)

Publication Number Publication Date
JPH01245524A true JPH01245524A (ja) 1989-09-29
JP2768685B2 JP2768685B2 (ja) 1998-06-25

Family

ID=13523770

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63073628A Expired - Fee Related JP2768685B2 (ja) 1988-03-28 1988-03-28 半導体装置の製造方法及びその装置

Country Status (4)

Country Link
US (1) US5067437A (ja)
EP (1) EP0335313A3 (ja)
JP (1) JP2768685B2 (ja)
KR (1) KR920006261B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01298181A (ja) * 1988-05-25 1989-12-01 Hitachi Ltd ドライエッチング方法
JPH0355838A (ja) * 1989-07-25 1991-03-11 Tokyo Electron Sagami Ltd 縦型処理装置
CN103545162A (zh) * 2012-07-09 2014-01-29 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置和石英窗加热方法

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69024719T2 (de) * 1989-08-14 1996-10-02 Applied Materials Inc Gasverteilungssystem und Verfahren zur Benutzung dieses Systems
US6047713A (en) * 1994-02-03 2000-04-11 Applied Materials, Inc. Method for cleaning a throttle valve
US5425810A (en) * 1994-05-11 1995-06-20 Internation Business Machines Corporation Removable gas injectors for use in chemical vapor deposition of aluminium oxide
KR100472175B1 (ko) * 1997-08-25 2005-06-28 삼성전자주식회사 몰리브덴또는몰리브덴합금을이용한반도체장치의제조방법
US5877064A (en) * 1997-07-15 1999-03-02 Taiwan Semiconductor Manufacturing Co.Ltd Method for marking a wafer
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6749687B1 (en) * 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
NL1009767C2 (nl) 1998-07-29 2000-02-04 Asm Int Werkwijze en inrichting voor het etsen van een substraat.
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP5089121B2 (ja) * 2006-09-29 2012-12-05 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびプラズマ処理装置
TWI421913B (zh) * 2008-08-26 2014-01-01 He Jian Technology Suzhou Co Ltd 一種在爐管中沉積多晶矽的方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9099481B2 (en) 2013-03-15 2015-08-04 Semiconductor Components Industries, Llc Methods of laser marking semiconductor substrates
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57114235A (en) * 1981-01-08 1982-07-16 Toshiba Corp Cleaning of semiconductor substrate
JPS62274082A (ja) * 1986-05-20 1987-11-28 Toshiba Corp ドライエツチング方法
JPS6353929A (ja) * 1986-08-22 1988-03-08 Fuji Xerox Co Ltd 半導体装置の製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS5827656B2 (ja) * 1976-11-17 1983-06-10 株式会社東芝 プラズマcvd装置
JPS5789226A (en) * 1980-11-19 1982-06-03 Ibm Method of etching silicon nitride layer
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4484979A (en) * 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
JPS60249328A (ja) * 1984-05-25 1985-12-10 Kokusai Electric Co Ltd 半導体ウエ−ハ用ドライエツチング・化学気相生成装置
US4581100A (en) * 1984-10-29 1986-04-08 International Business Machines Corporation Mixed excitation plasma etching system
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
JP2510488B2 (ja) * 1985-02-05 1996-06-26 キヤノン株式会社 堆積膜形成法
US4605479A (en) * 1985-06-24 1986-08-12 Rca Corporation In-situ cleaned ohmic contacts
JPS6220331A (ja) * 1985-07-18 1987-01-28 Fujitsu Ltd アルミナ膜の選択成長方法
JPS62221116A (ja) * 1986-03-24 1987-09-29 Hitachi Micro Comput Eng Ltd プラズマ処理装置
JPS62221163A (ja) * 1986-03-24 1987-09-29 Toppan Printing Co Ltd 薄膜トランジスタの作成方法
JPH0639703B2 (ja) * 1986-04-15 1994-05-25 キヤノン株式会社 堆積膜形成法
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4866346A (en) * 1987-06-22 1989-09-12 Applied Science & Technology, Inc. Microwave plasma generator

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57114235A (en) * 1981-01-08 1982-07-16 Toshiba Corp Cleaning of semiconductor substrate
JPS62274082A (ja) * 1986-05-20 1987-11-28 Toshiba Corp ドライエツチング方法
JPS6353929A (ja) * 1986-08-22 1988-03-08 Fuji Xerox Co Ltd 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01298181A (ja) * 1988-05-25 1989-12-01 Hitachi Ltd ドライエッチング方法
JPH0355838A (ja) * 1989-07-25 1991-03-11 Tokyo Electron Sagami Ltd 縦型処理装置
CN103545162A (zh) * 2012-07-09 2014-01-29 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置和石英窗加热方法

Also Published As

Publication number Publication date
EP0335313A2 (en) 1989-10-04
US5067437A (en) 1991-11-26
KR890015361A (ko) 1989-10-30
JP2768685B2 (ja) 1998-06-25
EP0335313A3 (en) 1991-03-06
KR920006261B1 (ko) 1992-08-01

Similar Documents

Publication Publication Date Title
JPH01245524A (ja) 半導体装置の製造方法及びその装置
US11791181B2 (en) Methods for the treatment of workpieces
KR100236626B1 (ko) 금속막을 선택적으로 피착하는 방법
US6841203B2 (en) Method of forming titanium film by CVD
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
JP2002222861A (ja) プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
US20090071404A1 (en) Method of forming titanium film by CVD
JPS6333569A (ja) 金属薄膜の製造方法
JP3297291B2 (ja) 半導体装置の製造方法
US5225036A (en) Method of manufacturing semiconductor device
US20110272279A1 (en) Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device by using the same
US6232239B1 (en) Method for cleaning contact holes in a semiconductor device
TWI312572B (en) Method of processing semiconductor substrate
JPH10189550A (ja) 半導体装置の製造方法
JPH1167757A (ja) 酸化薄膜形成方法
JPH08298288A (ja) 半導体装置の製造方法
JPH10321556A (ja) 成膜方法
JP4125443B2 (ja) タングステンシリサイド蒸着工程における微粒子汚染物を除去するための方法及び装置
JPH09129632A (ja) 半導体装置の製造方法
JPH0661181A (ja) バリアメタルの形成方法
JP2001044429A (ja) ゲート絶縁膜形成前処理方法及びその前処理装置
JP3686163B2 (ja) 半導体集積回路装置の製造方法およびその製造装置
US20220285167A1 (en) Selective barrier metal etching
JP2003243360A (ja) 半導体素子の製造方法
JP2000232077A (ja) 半導体製造装置

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees