JP5872189B2 - パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 - Google Patents
パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 Download PDFInfo
- Publication number
- JP5872189B2 JP5872189B2 JP2011120402A JP2011120402A JP5872189B2 JP 5872189 B2 JP5872189 B2 JP 5872189B2 JP 2011120402 A JP2011120402 A JP 2011120402A JP 2011120402 A JP2011120402 A JP 2011120402A JP 5872189 B2 JP5872189 B2 JP 5872189B2
- Authority
- JP
- Japan
- Prior art keywords
- coating material
- solution
- inorganic
- radiation
- coating
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 230000005855 radiation Effects 0.000 title claims description 88
- 238000000034 method Methods 0.000 title claims description 74
- 239000000203 mixture Substances 0.000 title claims description 64
- 238000000059 patterning Methods 0.000 title claims description 51
- 238000000576 coating method Methods 0.000 claims description 257
- 239000011248 coating agent Substances 0.000 claims description 245
- 239000000243 solution Substances 0.000 claims description 148
- 239000002243 precursor Substances 0.000 claims description 85
- 239000003446 ligand Substances 0.000 claims description 48
- 239000007864 aqueous solution Substances 0.000 claims description 15
- -1 anions sulfate Chemical class 0.000 claims description 13
- 238000002156 mixing Methods 0.000 claims description 11
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 11
- 125000002081 peroxide group Chemical group 0.000 claims description 9
- 150000001449 anionic compounds Chemical class 0.000 claims description 8
- 229910001412 inorganic anion Inorganic materials 0.000 claims description 8
- 238000005191 phase separation Methods 0.000 claims description 4
- 239000011259 mixed solution Substances 0.000 claims 2
- 229910019142 PO4 Inorganic materials 0.000 claims 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 claims 1
- 239000010452 phosphate Substances 0.000 claims 1
- 150000004760 silicates Chemical class 0.000 claims 1
- PBYZMCDFOULPGH-UHFFFAOYSA-N tungstate Chemical compound [O-][W]([O-])(=O)=O PBYZMCDFOULPGH-UHFFFAOYSA-N 0.000 claims 1
- 239000000463 material Substances 0.000 description 265
- 229910052751 metal Inorganic materials 0.000 description 65
- 239000002184 metal Substances 0.000 description 64
- 239000000758 substrate Substances 0.000 description 63
- 150000001768 cations Chemical class 0.000 description 59
- 238000011161 development Methods 0.000 description 31
- 230000018109 developmental process Effects 0.000 description 30
- 238000010438 heat treatment Methods 0.000 description 30
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 30
- 239000010410 layer Substances 0.000 description 29
- 150000002978 peroxides Chemical class 0.000 description 29
- 150000001450 anions Chemical class 0.000 description 26
- 230000008569 process Effects 0.000 description 25
- 230000015572 biosynthetic process Effects 0.000 description 21
- 235000012431 wafers Nutrition 0.000 description 21
- 238000010521 absorption reaction Methods 0.000 description 20
- 238000012545 processing Methods 0.000 description 20
- 238000010894 electron beam technology Methods 0.000 description 19
- 229910010272 inorganic material Inorganic materials 0.000 description 19
- 239000011147 inorganic material Substances 0.000 description 19
- 238000009833 condensation Methods 0.000 description 18
- 230000005494 condensation Effects 0.000 description 18
- 239000002904 solvent Substances 0.000 description 18
- 239000008199 coating composition Substances 0.000 description 14
- 238000005530 etching Methods 0.000 description 14
- 238000001459 lithography Methods 0.000 description 14
- 238000000151 deposition Methods 0.000 description 12
- 230000008021 deposition Effects 0.000 description 11
- 238000001878 scanning electron micrograph Methods 0.000 description 11
- 238000004528 spin coating Methods 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 239000000654 additive Substances 0.000 description 8
- 229920000642 polymer Polymers 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 239000010409 thin film Substances 0.000 description 8
- 229910021642 ultra pure water Inorganic materials 0.000 description 8
- 239000012498 ultrapure water Substances 0.000 description 8
- 150000002500 ions Chemical class 0.000 description 7
- 229910052760 oxygen Inorganic materials 0.000 description 7
- 239000001301 oxygen Substances 0.000 description 7
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 6
- 229910021645 metal ion Inorganic materials 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- 230000006641 stabilisation Effects 0.000 description 6
- 238000011105 stabilization Methods 0.000 description 6
- 206010073306 Exposure to radiation Diseases 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 229910052736 halogen Inorganic materials 0.000 description 5
- 230000006872 improvement Effects 0.000 description 5
- 238000001556 precipitation Methods 0.000 description 5
- 238000000992 sputter etching Methods 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 230000005670 electromagnetic radiation Effects 0.000 description 4
- 238000000609 electron-beam lithography Methods 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 4
- 230000007062 hydrolysis Effects 0.000 description 4
- 238000006460 hydrolysis reaction Methods 0.000 description 4
- 239000011572 manganese Substances 0.000 description 4
- 125000004430 oxygen atom Chemical group O* 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 238000002360 preparation method Methods 0.000 description 4
- 238000007639 printing Methods 0.000 description 4
- 230000001681 protective effect Effects 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- 239000011701 zinc Substances 0.000 description 4
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 238000001879 gelation Methods 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000010422 painting Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 150000003839 salts Chemical class 0.000 description 3
- 230000035945 sensitivity Effects 0.000 description 3
- 241000894007 species Species 0.000 description 3
- 239000004094 surface-active agent Substances 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 229910052726 zirconium Inorganic materials 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- 241000252506 Characiformes Species 0.000 description 2
- KCXVZYZYPLLWCC-UHFFFAOYSA-N EDTA Chemical compound OC(=O)CN(CC(O)=O)CCN(CC(O)=O)CC(O)=O KCXVZYZYPLLWCC-UHFFFAOYSA-N 0.000 description 2
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- 229910052765 Lutetium Inorganic materials 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 238000005054 agglomeration Methods 0.000 description 2
- 230000002776 aggregation Effects 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 239000002585 base Substances 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052791 calcium Inorganic materials 0.000 description 2
- 239000011575 calcium Substances 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 239000011247 coating layer Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000006482 condensation reaction Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000000280 densification Methods 0.000 description 2
- 230000001419 dependent effect Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 229960001484 edetic acid Drugs 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 230000008014 freezing Effects 0.000 description 2
- 238000007710 freezing Methods 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000005660 hydrophilic surface Effects 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 238000007641 inkjet printing Methods 0.000 description 2
- 229910052809 inorganic oxide Inorganic materials 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 2
- OHSVLFRHMCKCQY-UHFFFAOYSA-N lutetium atom Chemical compound [Lu] OHSVLFRHMCKCQY-UHFFFAOYSA-N 0.000 description 2
- 229910000000 metal hydroxide Inorganic materials 0.000 description 2
- 150000004692 metal hydroxides Chemical class 0.000 description 2
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 2
- 239000002061 nanopillar Substances 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 2
- 125000001453 quaternary ammonium group Chemical group 0.000 description 2
- 238000007650 screen-printing Methods 0.000 description 2
- 238000007592 spray painting technique Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 150000005622 tetraalkylammonium hydroxides Chemical group 0.000 description 2
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910052725 zinc Inorganic materials 0.000 description 2
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- 229910052692 Dysprosium Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910052693 Europium Inorganic materials 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910052689 Holmium Inorganic materials 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910017855 NH 4 F Inorganic materials 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 1
- 229910052777 Praseodymium Inorganic materials 0.000 description 1
- 229910052773 Promethium Inorganic materials 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- 229910004283 SiO 4 Inorganic materials 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- 229910052775 Thulium Inorganic materials 0.000 description 1
- 206010047571 Visual impairment Diseases 0.000 description 1
- 238000000560 X-ray reflectometry Methods 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- VZPPHXVFMVZRTE-UHFFFAOYSA-N [Kr]F Chemical compound [Kr]F VZPPHXVFMVZRTE-UHFFFAOYSA-N 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 238000013019 agitation Methods 0.000 description 1
- 229910052783 alkali metal Inorganic materials 0.000 description 1
- 150000001340 alkali metals Chemical class 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000011260 aqueous acid Substances 0.000 description 1
- 239000003125 aqueous solvent Substances 0.000 description 1
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 description 1
- 239000011324 bead Substances 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- 150000001793 charged compounds Polymers 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000007865 diluting Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- KBQHZAAAGSGFKK-UHFFFAOYSA-N dysprosium atom Chemical compound [Dy] KBQHZAAAGSGFKK-UHFFFAOYSA-N 0.000 description 1
- 238000010292 electrical insulation Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 235000019441 ethanol Nutrition 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- OGPBJKLSAFTDLK-UHFFFAOYSA-N europium atom Chemical compound [Eu] OGPBJKLSAFTDLK-UHFFFAOYSA-N 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 235000019253 formic acid Nutrition 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical compound [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- KJZYNXUDTRRSPN-UHFFFAOYSA-N holmium atom Chemical compound [Ho] KJZYNXUDTRRSPN-UHFFFAOYSA-N 0.000 description 1
- 230000036571 hydration Effects 0.000 description 1
- 238000006703 hydration reaction Methods 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 description 1
- 230000002209 hydrophobic effect Effects 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 229910003480 inorganic solid Inorganic materials 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000000025 interference lithography Methods 0.000 description 1
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 239000002346 layers by function Substances 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- VLAPMBHFAWRUQP-UHFFFAOYSA-L molybdic acid Chemical compound O[Mo](O)(=O)=O VLAPMBHFAWRUQP-UHFFFAOYSA-L 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- QEFYFXOXNSNQGX-UHFFFAOYSA-N neodymium atom Chemical compound [Nd] QEFYFXOXNSNQGX-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 239000012454 non-polar solvent Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 150000001451 organic peroxides Chemical class 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000010979 pH adjustment Methods 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920000515 polycarbonate Polymers 0.000 description 1
- 239000004417 polycarbonate Substances 0.000 description 1
- 229920000728 polyester Polymers 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000307 polymer substrate Polymers 0.000 description 1
- 229920000098 polyolefin Polymers 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- PUDIUYLPXJFUGB-UHFFFAOYSA-N praseodymium atom Chemical compound [Pr] PUDIUYLPXJFUGB-UHFFFAOYSA-N 0.000 description 1
- VQMWBBYLQSCNPO-UHFFFAOYSA-N promethium atom Chemical compound [Pm] VQMWBBYLQSCNPO-UHFFFAOYSA-N 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000000518 rheometry Methods 0.000 description 1
- KZUNJOHGWZRPMI-UHFFFAOYSA-N samarium atom Chemical compound [Sm] KZUNJOHGWZRPMI-UHFFFAOYSA-N 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- RMAQACBXLXPBSY-UHFFFAOYSA-N silicic acid Chemical compound O[Si](O)(O)O RMAQACBXLXPBSY-UHFFFAOYSA-N 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000010129 solution processing Methods 0.000 description 1
- 244000148755 species properties Species 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- GZCRRIHWUXGPOV-UHFFFAOYSA-N terbium atom Chemical compound [Tb] GZCRRIHWUXGPOV-UHFFFAOYSA-N 0.000 description 1
- 229940073455 tetraethylammonium hydroxide Drugs 0.000 description 1
- LRGJRHZIDJQFCL-UHFFFAOYSA-M tetraethylazanium;hydroxide Chemical compound [OH-].CC[N+](CC)(CC)CC LRGJRHZIDJQFCL-UHFFFAOYSA-M 0.000 description 1
- LPSKDVINWQNWFE-UHFFFAOYSA-M tetrapropylazanium;hydroxide Chemical compound [OH-].CCC[N+](CCC)(CCC)CCC LPSKDVINWQNWFE-UHFFFAOYSA-M 0.000 description 1
- FRNOGLGSGLTDKL-UHFFFAOYSA-N thulium atom Chemical compound [Tm] FRNOGLGSGLTDKL-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- CMPGARWFYBADJI-UHFFFAOYSA-L tungstic acid Chemical compound O[W](O)(=O)=O CMPGARWFYBADJI-UHFFFAOYSA-L 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
- G03F7/0043—Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0045—Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0047—Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
- G03F7/325—Non-aqueous compositions
- G03F7/327—Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24355—Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Ceramic Engineering (AREA)
- Materials For Photolithography (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Inorganic Compounds Of Heavy Metals (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Paints Or Removers (AREA)
Description
本出願は、参照により本明細書中に援用される同時係属中の“Photopatternable Inorganic Hardmask”という名称のStowersらの米国仮特許出願番号第61/350,103号に関する優先権を請求する。
本明細書中で説明される本発明の開発は、アメリカ科学財団研究(U.S. Nati
onal Science Foundation)資金DGE−0549503および
IIP−0912921による政府支援により少なくとも部分的に資金提供され、連邦政
府は本発明において一定の権利を有する。
前駆体溶液は、前駆体溶液の市販製品の貯蔵寿命が適切であるように、きわめて高レベルの安定性を達成するように配合されてきた。また、前駆体溶液の配合が、金属陽イオンの選択に基づいて選定された放射線に対して所望のレベルの放射線吸収を達成するように設計され得ることが発見された。前駆体溶液は、金属酸化物の化学および多原子陰イオンを含む金属陽イオンの水溶液に基づいている。前駆体溶液は、少なくとも部分的な溶媒除去時にコーティング組成物を形成するように、また最終的には金属酸化物および多原子陰イオンを含む無機固形物を形成するように設計される。前駆体溶液の制御は、金属陽イオンに対して感放射線リガンド、具体的には過酸化物系リガンドの高い濃度に基づいている。具体的には、水酸基対金属陽イオンのモル比が少なくとも2である場合、より安定な溶液を形成することができる。より安定な前駆体溶液は、最終の照射コーティング材と非照射コーティング材との間のより大きなコントラストという別の利点を提供する。
コーティング材は、選択した基板上に前駆体溶液を堆積することにより形成される。基板は、一般に上にコーティング材を堆積し得る表面を与え、また基板は、表面が最上層と関係する複数の層を含んで成り得る。基板表面を処理してコーティング材の接着のための面を調製し得る。表面の調製に先立って表面を必要に応じて清浄および/または平滑にし得る。適当な基板表面は、任意の妥当な材料を含んで成り得る。特に興味深いある基板には、例えばシリコンウェーハ、シリカ基板、他の無機材料、有機ポリマーなどのポリマー基板、それらの複合体、および表面全体のかつ/または基板の層中のこれらの組合せを含む。比較的薄い円筒構造などのウェーハが好都合な場合もあるが、任意の妥当な形状の構造を使用し得る。ポリマー基板または非ポリマー構造体上にポリマー層を有する基板が、それらの低コストおよび可撓性に基づいてある用途にとって望ましいこともあり、それら適当なポリマーは、本明細書中で説明されるパターン形成可能な無機材料の加工に使用し得る比較的低い加工温度に基づいて選択し得る。適当なポリマーには、例えばポリカーボナート、ポリイミド、ポリエステル、ポリアルケン、これらの共重合体、およびこれらの混合物を含み得る。一般に基板は、特に高解像度の用途の場合には平坦な表面を有することが望ましい。
放射線を用いてコーティング材に微細なパターンを形成し得る。前述のように、所望の形態の放射線を十分に吸収するように前駆体溶液の組成、したがって対応するコーティング材を設計することができる。放射線の吸収は過酸化物の−O−O−結合を破壊するエネルギーの移動を引き起こし、その結果、過酸化物系リガンドの少なくとも一部は材料の安定化のためにもはや利用できなくなる。十分な量の放射線の吸収により露光コーティング材は縮合する。一般に放射線は、選択されたパターンに従って送達される。放射線パターンは、照射領域および非照射領域を有するコーティング材における対応するパターンまたは潜像に転写される。照射領域は縮合したコーティング材を含んで成り、非照射領域は一般に形成されたままのコーティング材を含んで成る。下記で述べるように非照射コーティング材の除去によるコーティング材の現像時にきわめてシャープなエッジを形成し得る。
像の現像は、潜像を含むパターン形成されたコーティング材を現像剤組成物と接触させて非照射コーティング材を除去するステップを伴う。図4および5を参照すると、図2および3に示す構造体の潜像を現像液と接触させることにより現像してパターン形成された構造体130が形成される。像の現像後、基板102を上面に沿って開口部132、134を通して露光する。開口部132、134は、それぞれ非縮合領域118、120の位置に置かれる。
パターン形成されたコーティング材の形成後、選択したデバイスの形成を容易にするために該コーティング材をさらに加工し得る。さらに、構造体を完成させるために一般に更なる材料の蒸着および/またはパターニングを行い得る。コーティング材は、最終的に除去されてもされなくてもよい。パターン形成されたコーティング材の品質は、いずれの事例においてもデバイスの改良、例えばより小さな設置面積などを有するデバイスに向けて前進させ得る。
この実施例は、ハフニウム(Hf)および/またはジルコニウム(Zr)に基づく金属亜酸化物陽イオンを含んで成る前駆体溶液を調製するために使用した方法について記載する。
この実施例は、基板表面の調製、および実施例1に従って作製した前駆体溶液を用いたパターン形成可能なコーティング材の蒸着について記載する。
この実施例は、Zr亜酸化物系コーティング材のパターン形成に使用した方法について述べる。
この実施例は、Hf亜酸化物系コーティング材のパターン形成に使用した方法について記載する。
102 基板
103 薄膜
104 パターン形成されたコーティング材
110 照射コーティング材の縮合領域
112 照射コーティング材の縮合領域
114 照射コーティング材の縮合領域
116 照射コーティング材の縮合領域
118 非照射コーティング材の非縮合領域
120 非照射コーティング材の非縮合領域
130 パターン形成された構造体
132 開口部
134 開口部
150 エッチングされた構造体
152 フィーチャ
154 フィーチャ
156 フィーチャ
160 パターン
162 基板
164 加熱のステップ
166 現像のステップ
168 二重パターン形成された構造体
170 エッチングステップ
172 二重パターン形成された構造体産物
Claims (7)
- 水と、HfO +2 と、多原子無機陰イオンと、過酸化物基を含んで成る感放射線リガンドとの混合物を含んで成る水性無機パターン形成前駆体水溶液であって、その組成は、HfO +2 に対するリガンドのモル濃度比が少なくとも2であり、前記水性無機パターン形成前駆体水溶液が、相分離に関して、更に混合されることなく少なくとも2時間安定する、水性無機パターン形成前駆体水溶液。
- 0.01M〜1.4MのHfO +2 濃度を有し、HfO +2 濃度の0.5〜2倍の多原子無機陰イオン濃度を有する、請求項1に記載の水性無機パターン形成前駆体水溶液。
- 前記多原子陰イオンが硫酸塩を含んで成り、および前記多原子陰イオンがホウ酸塩、リン酸塩、タングステン酸塩、ケイ酸塩、またはこれらの組合せを含んで成る、請求項1に記載の水性無機パターン形成前駆体水溶液。
- HfO +2 に対するリガンドのモル濃度比が少なくとも5となっている、請求項1に記載の水性無機パターン形成前駆体水溶液。
- 更に混合されることなく相分離に関して少なくとも24時間の安定性を有する、請求項1に記載の水性無機パターン形成前駆体水溶液。
- 感放射線無機コーティング前駆体溶液の形成方法であって、
HfO +2 を含んで成る第一の水溶液、過酸化物基を有するリガンドを含んで成る第二溶液および多原子無機陰イオンを含んで成る溶液を混ぜ合わせて前記コーティング前駆体溶液を形成することを含んで成り、HfO +2 に対するリガンドのモル濃度比が少なくとも2となっている、方法。 - 前記第一の水溶液を前記第二溶液と混合してリガンド−陽イオン混合溶液を形成すること、および該リガンド−陽イオン混合溶液に前記多原子無機陰イオンを導入することを含み、前記多原子無機陰イオンを含んで成る溶液の添加前に前記リガンド−陽イオン混合溶液を少なくとも5分間エージングする、請求項6に記載の方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US35010310P | 2010-06-01 | 2010-06-01 | |
US61/350,103 | 2010-06-01 | ||
US12/850,867 US9176377B2 (en) | 2010-06-01 | 2010-08-05 | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
US12/850,867 | 2010-08-05 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015207978A Division JP6280904B2 (ja) | 2010-06-01 | 2015-10-22 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2011253185A JP2011253185A (ja) | 2011-12-15 |
JP5872189B2 true JP5872189B2 (ja) | 2016-03-01 |
Family
ID=44501576
Family Applications (6)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011120402A Active JP5872189B2 (ja) | 2010-06-01 | 2011-05-30 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2015207978A Active JP6280904B2 (ja) | 2010-06-01 | 2015-10-22 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2017216745A Active JP6824141B2 (ja) | 2010-06-01 | 2017-11-09 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2021002809A Active JP7124137B2 (ja) | 2010-06-01 | 2021-01-12 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2022128000A Active JP7503605B2 (ja) | 2010-06-01 | 2022-08-10 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2024029096A Pending JP2024051126A (ja) | 2010-06-01 | 2024-02-28 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
Family Applications After (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015207978A Active JP6280904B2 (ja) | 2010-06-01 | 2015-10-22 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2017216745A Active JP6824141B2 (ja) | 2010-06-01 | 2017-11-09 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2021002809A Active JP7124137B2 (ja) | 2010-06-01 | 2021-01-12 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2022128000A Active JP7503605B2 (ja) | 2010-06-01 | 2022-08-10 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
JP2024029096A Pending JP2024051126A (ja) | 2010-06-01 | 2024-02-28 | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 |
Country Status (6)
Country | Link |
---|---|
US (8) | US9176377B2 (ja) |
EP (3) | EP4455790A2 (ja) |
JP (6) | JP5872189B2 (ja) |
KR (1) | KR101364953B1 (ja) |
CN (1) | CN102269929B (ja) |
TW (1) | TWI446108B (ja) |
Families Citing this family (69)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5723274B2 (ja) * | 2009-07-03 | 2015-05-27 | Hoya株式会社 | 機能傾斜型無機レジスト、機能傾斜型無機レジスト付き基板、機能傾斜型無機レジスト付き円筒基材、機能傾斜型無機レジストの形成方法及び微細パターン形成方法 |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
WO2012118847A2 (en) | 2011-02-28 | 2012-09-07 | Inpria Corportion | Solution processible hardmarks for high resolusion lithography |
US9142488B2 (en) | 2013-05-30 | 2015-09-22 | International Business Machines Corporation | Manganese oxide hard mask for etching dielectric materials |
US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
JP6119544B2 (ja) * | 2013-10-04 | 2017-04-26 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
US9778561B2 (en) | 2014-01-31 | 2017-10-03 | Lam Research Corporation | Vacuum-integrated hardmask processes and apparatus |
KR102233577B1 (ko) | 2014-02-25 | 2021-03-30 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
GB201405335D0 (en) * | 2014-03-25 | 2014-05-07 | Univ Manchester | Resist composition |
EP3161840A4 (en) * | 2014-06-25 | 2018-05-23 | Intel Corporation | Techniques for forming integrated passive devices |
US9791779B2 (en) * | 2014-10-16 | 2017-10-17 | Tokyo Electron Limited | EUV resist etch durability improvement and pattern collapse mitigation |
KR102696070B1 (ko) | 2014-10-23 | 2024-08-16 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
JP6683371B2 (ja) * | 2015-02-04 | 2020-04-22 | Agc株式会社 | 導電膜付き基板 |
JP6482919B2 (ja) * | 2015-03-23 | 2019-03-13 | 株式会社Screenホールディングス | ネガティブ現像処理方法およびネガティブ現像処理装置 |
EP3091103A1 (en) * | 2015-05-04 | 2016-11-09 | Centre National De La Recherche Scientifique | Process for obtaining patterned metal-oxide thin films deposited onto a substrate, filmed substrates obtained thereof, and semiconductor nanodevices comprising them |
CN106298929B (zh) * | 2015-06-12 | 2019-11-01 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管的形成方法 |
KR102204773B1 (ko) | 2015-10-13 | 2021-01-18 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
JP6742748B2 (ja) * | 2016-02-17 | 2020-08-19 | 株式会社Screenホールディングス | 現像ユニット、基板処理装置、現像方法および基板処理方法 |
KR102394042B1 (ko) | 2016-03-11 | 2022-05-03 | 인프리아 코포레이션 | 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법 |
JP6389839B2 (ja) | 2016-03-23 | 2018-09-12 | 株式会社先端ナノプロセス基盤開発センター | 感光性組成物およびパターン形成方法 |
WO2017163816A1 (ja) | 2016-03-24 | 2017-09-28 | 富士フイルム株式会社 | 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法 |
EP3435159A4 (en) * | 2016-03-24 | 2019-04-10 | Fujifilm Corporation | ACTINIC OR RADIATION SENSITIVE COMPOSITION, PURIFICATION METHOD AND METHOD OF MANUFACTURING THE SAME, PATTERN FORMATION METHOD, AND ELECTRONIC DEVICE MANUFACTURING METHOD |
EP3435158A4 (en) | 2016-03-24 | 2019-01-30 | Fujifilm Corporation | ACTIVE RADIATIVE OR RADIATIVE COMPOSITION, METHOD FOR CLEANING AN ACTIVE RADIATION-SENSITIVE OR RADIATION-SENSITIVE COMPOSITION, STRUCTURE-FORMING METHOD, AND METHOD FOR PRODUCING AN ELECTRONIC DEVICE |
CN105668528B (zh) * | 2016-04-05 | 2017-02-08 | 中南大学 | 一种催化还原硒的方法 |
CN109154772B (zh) | 2016-05-19 | 2023-11-07 | Asml荷兰有限公司 | 抗蚀剂组合物 |
KR102329105B1 (ko) | 2016-08-12 | 2021-11-18 | 인프리아 코포레이션 | 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법 |
JP6713910B2 (ja) * | 2016-11-11 | 2020-06-24 | 株式会社Screenホールディングス | 現像装置、基板処理装置、現像方法および基板処理方法 |
EP3367428A1 (en) | 2017-02-23 | 2018-08-29 | IMEC vzw | Method for blocking a trench portion during patterning of trenches in a dielectric material, and corresponding semiconductor structure |
JP6944255B2 (ja) * | 2017-03-14 | 2021-10-06 | Hoya株式会社 | 転写用マスクの製造方法、および半導体デバイスの製造方法 |
JP6426223B2 (ja) | 2017-03-31 | 2018-11-21 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
TWI826164B (zh) | 2017-10-27 | 2023-12-11 | 日商東京威力科創股份有限公司 | 光罩圖案形成方法、記憶媒體及基板處理裝置 |
JP7010195B2 (ja) | 2017-11-29 | 2022-01-26 | 信越化学工業株式会社 | パターン形成方法 |
JP7085392B2 (ja) | 2018-04-11 | 2022-06-16 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及びコンピュータ読み取り可能な記録媒体 |
EP3807721A4 (en) | 2018-06-13 | 2022-04-13 | Brewer Science, Inc. | ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY |
US10838304B2 (en) * | 2018-08-13 | 2020-11-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Priming material for organometallic resist |
TW202016279A (zh) | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
KR20210129739A (ko) | 2019-03-18 | 2021-10-28 | 램 리써치 코포레이션 | 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소 |
JP7274920B2 (ja) | 2019-04-11 | 2023-05-17 | 東京応化工業株式会社 | 洗浄液、及び金属レジストを備えた支持体の洗浄方法 |
JP7274919B2 (ja) | 2019-04-11 | 2023-05-17 | 東京応化工業株式会社 | 洗浄液、及び金属レジストを備えた支持体の洗浄方法 |
JP7294859B2 (ja) | 2019-04-11 | 2023-06-20 | 東京応化工業株式会社 | 洗浄液、及び金属レジストを備えた支持体の洗浄方法 |
EP3953767A4 (en) * | 2019-04-12 | 2023-06-07 | Inpria Corporation | ORGANOMETALLIC PHOTORESIN DEVELOPER COMPOSITIONS AND METHODS OF TREATMENT |
US11609494B2 (en) * | 2019-04-30 | 2023-03-21 | Samsung Sdi Co., Ltd. | Semiconductor photoresist composition and method of forming patterns using the composition |
KR102606844B1 (ko) * | 2019-04-30 | 2023-11-27 | 삼성에스디아이 주식회사 | 반도체 포토 레지스트용 조성물 및 이를 이용한 패턴 형성 방법 |
US11327398B2 (en) | 2019-04-30 | 2022-05-10 | Samsung Electronics Co., Ltd. | Photoresist compositions and methods for fabricating semiconductor devices using the same |
KR20210149893A (ko) | 2019-04-30 | 2021-12-09 | 램 리써치 코포레이션 | 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스 |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
TWI838557B (zh) * | 2019-07-12 | 2024-04-11 | 美商英培雅股份有限公司 | 輻射圖案化組合物在基板上的穩定化界面 |
KR20210012290A (ko) * | 2019-07-24 | 2021-02-03 | 삼성전자주식회사 | 패턴 형성 방법 및 반도체 소자의 제조 방법 |
JP7343700B2 (ja) * | 2019-10-29 | 2023-09-12 | ザイゴ コーポレーション | 光学表面上の欠陥を軽減する方法およびその方法によって形成されたミラー |
WO2021146138A1 (en) | 2020-01-15 | 2021-07-22 | Lam Research Corporation | Underlayer for photoresist adhesion and dose reduction |
TW202134364A (zh) * | 2020-01-31 | 2021-09-16 | 美商恩特葛瑞斯股份有限公司 | 用於研磨硬質材料之化學機械研磨(cmp)組合物 |
JP2023515693A (ja) | 2020-03-02 | 2023-04-13 | インプリア・コーポレイション | 無機レジストパターニング用のプロセス環境 |
EP4147269A4 (en) | 2020-05-06 | 2024-06-05 | Inpria Corporation | MULTIPLE PATTERNING WITH ORGANOMETALLIC PHOTOSTRUCTURING LAYERS WITH INTERMEDIATE FREEZING STEPS |
US11942322B2 (en) | 2020-05-22 | 2024-03-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor devices and pattern formation method |
TWI781629B (zh) * | 2020-05-22 | 2022-10-21 | 台灣積體電路製造股份有限公司 | 半導體裝置的製造方法 |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
KR102601038B1 (ko) * | 2020-07-07 | 2023-11-09 | 램 리써치 코포레이션 | 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 |
US20230266664A1 (en) * | 2020-07-17 | 2023-08-24 | Lam Research Corporation | Photoresists from sn(ii) precursors |
US20230288798A1 (en) * | 2020-07-17 | 2023-09-14 | Lam Research Corporation | Photoresists containing tantalum |
CN112382735B (zh) * | 2020-11-17 | 2021-11-12 | 东莞赣锋电子有限公司 | 一种激光清洗制备锂离子电池极片的方法 |
KR102598259B1 (ko) | 2020-12-18 | 2023-11-02 | 삼성에스디아이 주식회사 | 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법 |
US12072626B2 (en) * | 2021-02-19 | 2024-08-27 | Inpria Corporation | Organometallic radiation patternable coatings with low defectivity and corresponding methods |
JPWO2023085414A1 (ja) | 2021-11-15 | 2023-05-19 | ||
JP7179146B1 (ja) | 2021-12-14 | 2022-11-28 | 東京応化工業株式会社 | 金属レジスト除去用洗浄液、及び該洗浄液を用いた洗浄方法 |
JP7179147B1 (ja) | 2021-12-14 | 2022-11-28 | 東京応化工業株式会社 | 金属レジスト除去用洗浄液、及び該洗浄液を用いた洗浄方法 |
WO2023171733A1 (ja) | 2022-03-10 | 2023-09-14 | 日産化学株式会社 | 半導体製造用ウエハ端部保護膜形成用組成物 |
WO2024035914A1 (en) | 2022-08-12 | 2024-02-15 | Gelest, Inc. | High purity tin compounds containing unsaturated substituent and method for preparation thereof |
KR20240119264A (ko) | 2023-01-23 | 2024-08-06 | 닛산 가가쿠 가부시키가이샤 | 금속 산화물 레지스트 패턴 형성용 유기 수지 조성물 |
Family Cites Families (119)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US1910122A (en) | 1931-11-10 | 1933-05-23 | Western Union Telegraph Co | Ticker system |
US3385915A (en) | 1966-09-02 | 1968-05-28 | Union Carbide Corp | Process for producing metal oxide fibers, textiles and shapes |
US3949146A (en) | 1973-08-24 | 1976-04-06 | Rca Corporation | Process for depositing transparent electrically conductive tin oxide coatings on a substrate |
US4014858A (en) | 1975-05-12 | 1977-03-29 | Standard Oil Company | Polybutylene terephthalate |
US4174346A (en) | 1976-01-30 | 1979-11-13 | Albright & Wilson Limited | Process for preparing organotin compounds |
JPS5331761A (en) | 1976-09-07 | 1978-03-25 | Toray Silicone Co Ltd | Thermosetting silicone resin composition |
US4104292A (en) | 1976-11-02 | 1978-08-01 | M&T Chemicals Inc. | Method for preparing organotin compounds |
US4102683A (en) | 1977-02-10 | 1978-07-25 | Rca Corp. | Nonreflecting photoresist process |
JPS5426997A (en) * | 1977-08-03 | 1979-02-28 | Murata Manufacturing Co | Method of making high purity oxide |
US4380559A (en) | 1980-09-25 | 1983-04-19 | Murata Manufacturing Co., Ltd. | Method for producing boundary layer semiconductor ceramic capacitors |
US4370405A (en) | 1981-03-30 | 1983-01-25 | Hewlett-Packard Company | Multilayer photoresist process utilizing an absorbant dye |
DE3366408D1 (en) | 1982-05-19 | 1986-10-30 | Ciba Geigy Ag | Photopolymerisation with organometal salts |
US4910122A (en) | 1982-09-30 | 1990-03-20 | Brewer Science, Inc. | Anti-reflective coating |
US4639208A (en) | 1984-04-03 | 1987-01-27 | Matsushita Electric Industrial Co., Ltd. | Pulse combustion apparatus with a plurality of pulse burners |
US4601917A (en) | 1985-02-26 | 1986-07-22 | M&T Chemicals Inc. | Liquid coating composition for producing high quality, high performance fluorine-doped tin oxide coatings |
US5025094A (en) | 1985-07-10 | 1991-06-18 | Union Carbide Chemicals And Plastics Technology Corporation | Heterogeneous alkoxylation using anion-bound metal oxides |
US4732841A (en) | 1986-03-24 | 1988-03-22 | Fairchild Semiconductor Corporation | Tri-level resist process for fine resolution photolithography |
JPH07733B2 (ja) | 1986-11-13 | 1995-01-11 | サンスタ−技研株式会社 | エポキシ樹脂組成物 |
DE3738634C2 (de) | 1986-11-13 | 1996-11-14 | Sunstar Engineering Inc | Epoxyharzmasse mit darin dispergierten Siliconharzteilchen |
JPH01201337A (ja) * | 1988-02-08 | 1989-08-14 | Nippon Telegr & Teleph Corp <Ntt> | パターン形成材料及びパターン形成方法 |
US4891303A (en) | 1988-05-26 | 1990-01-02 | Texas Instruments Incorporated | Trilayer microlithographic process using a silicon-based resist as the middle layer |
JPH03148659A (ja) | 1989-11-06 | 1991-06-25 | Fujitsu Ltd | 電離放射線感応性ネガ型レジスト材料組成物 |
US5302198A (en) | 1990-09-14 | 1994-04-12 | Ncr Corporation | Coating solution for forming glassy layers |
JP3198310B2 (ja) | 1993-01-06 | 2001-08-13 | 株式会社ニコン | 露光方法及び装置 |
JP2606652B2 (ja) | 1993-08-17 | 1997-05-07 | 日本電気株式会社 | 珪素含有高分子化合物及びそれを用いたレジスト材料 |
JP3207688B2 (ja) * | 1994-10-20 | 2001-09-10 | 沖電気工業株式会社 | ケイ酸ガラス系無機膜の形成方法 |
US5672243A (en) | 1995-11-28 | 1997-09-30 | Mosel Vitelic, Inc. | Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide |
JPH10237078A (ja) | 1996-10-14 | 1998-09-08 | Dainippon Printing Co Ltd | 金属錯体溶液、感光性金属錯体溶液及び金属酸化物膜の形成方法 |
US6183716B1 (en) | 1997-07-30 | 2001-02-06 | State Of Oregon Acting By And Through The State Board Of Higher Education Of Behalf Of Oregon State University | Solution method for making molybdate and tungstate negative thermal expansion materials and compounds made by the method |
US6060380A (en) | 1998-11-06 | 2000-05-09 | Advanced Micro Devices, Inc. | Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication |
US6291283B1 (en) * | 1998-11-09 | 2001-09-18 | Texas Instruments Incorporated | Method to form silicates as high dielectric constant materials |
US6020269A (en) | 1998-12-02 | 2000-02-01 | Advanced Micro Devices, Inc. | Ultra-thin resist and nitride/oxide hard mask for metal etch |
US6287951B1 (en) | 1998-12-07 | 2001-09-11 | Motorola Inc. | Process for forming a combination hardmask and antireflective layer |
US6194323B1 (en) | 1998-12-16 | 2001-02-27 | Lucent Technologies Inc. | Deep sub-micron metal etch with in-situ hard mask etch |
US6268457B1 (en) | 1999-06-10 | 2001-07-31 | Allied Signal, Inc. | Spin-on glass anti-reflective coatings for photolithography |
US6238734B1 (en) | 1999-07-08 | 2001-05-29 | Air Products And Chemicals, Inc. | Liquid precursor mixtures for deposition of multicomponent metal containing materials |
US6197896B1 (en) | 1999-07-12 | 2001-03-06 | International Business Machines Corporation | Graft polymers and use thereof |
EP1094506A3 (en) | 1999-10-18 | 2004-03-03 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
JP4006723B2 (ja) | 1999-12-01 | 2007-11-14 | 富士通株式会社 | ケイ素含有ポリマを含む化学増幅型レジスト組成物を用いるパターン形成方法 |
JP2001194780A (ja) | 2000-01-11 | 2001-07-19 | Nippon Sheet Glass Co Ltd | パターン膜被覆物品の製造方法および感光性組成物 |
EP1305824A4 (en) | 2000-06-06 | 2007-07-25 | Univ Fraser Simon | METHOD FOR MANUFACTURING ELECTRONIC MATERIALS |
US6420088B1 (en) | 2000-06-23 | 2002-07-16 | International Business Machines Corporation | Antireflective silicon-containing compositions as hardmask layer |
US7270886B2 (en) | 2000-10-12 | 2007-09-18 | Samsung Electronics Co., Ltd. | Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same |
JP4631011B2 (ja) | 2000-12-28 | 2011-02-16 | 日産化学工業株式会社 | 導電性酸化スズ膜のパターニング方法 |
US6844604B2 (en) | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
US20020157418A1 (en) | 2001-03-19 | 2002-10-31 | Rahul Ganguli | Process for reducing or eliminating bubble defects in sol-gel silica glass |
KR20030059872A (ko) | 2002-01-03 | 2003-07-12 | 삼성전자주식회사 | 금속 또는 금속산화물 미세 패턴의 제조방법 |
JP4110952B2 (ja) | 2002-01-16 | 2008-07-02 | 株式会社村田製作所 | 誘電体薄膜の形成方法 |
US6730454B2 (en) | 2002-04-16 | 2004-05-04 | International Business Machines Corporation | Antireflective SiO-containing compositions for hardmask layer |
US6946677B2 (en) | 2002-06-14 | 2005-09-20 | Nokia Corporation | Pre-patterned substrate for organic thin film transistor structures and circuits and related method for making same |
KR100520961B1 (ko) | 2003-05-30 | 2005-10-17 | 엘지전자 주식회사 | 인쇄회로기판의 제조방법 |
US6927108B2 (en) | 2003-07-09 | 2005-08-09 | Hewlett-Packard Development Company, L.P. | Solution-processed thin film transistor formation method |
DE10345455A1 (de) | 2003-09-30 | 2005-05-04 | Infineon Technologies Ag | Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung |
US7071121B2 (en) | 2003-10-28 | 2006-07-04 | Hewlett-Packard Development Company, L.P. | Patterned ceramic films and method for producing the same |
US7001821B2 (en) | 2003-11-10 | 2006-02-21 | Texas Instruments Incorporated | Method of forming and using a hardmask for forming ferroelectric capacitors in a semiconductor device |
US7147832B2 (en) * | 2003-12-30 | 2006-12-12 | Vladimir Belov | Process for the isolation and purification of zirconium peroxosulfate and uses thereof |
US7773365B2 (en) * | 2004-04-30 | 2010-08-10 | Hewlett-Packard Development Company, L.P. | Dielectric material |
US7312165B2 (en) | 2004-05-05 | 2007-12-25 | Jursich Gregory M | Codeposition of hafnium-germanium oxides on substrates used in or for semiconductor devices |
US9158197B2 (en) * | 2004-07-12 | 2015-10-13 | Industrial Technology Research Institute | Organic-inorganic hybrid material, hybrid film derived therefrom, and method for preparing the same |
US20060088962A1 (en) | 2004-10-22 | 2006-04-27 | Herman Gregory S | Method of forming a solution processed transistor having a multilayer dielectric |
US8709705B2 (en) | 2004-12-13 | 2014-04-29 | Pryog, Llc | Metal-containing compositions and method of making same |
JP2006225476A (ja) | 2005-02-16 | 2006-08-31 | Shin Etsu Chem Co Ltd | ポジ型レジスト材料及びパターン形成方法 |
JP2006284947A (ja) * | 2005-03-31 | 2006-10-19 | Fuji Photo Film Co Ltd | 遮光膜用感光性樹脂組成物、遮光膜の作製方法、転写材料及びその製造方法 |
KR100643570B1 (ko) | 2005-06-28 | 2006-11-10 | 주식회사 하이닉스반도체 | 반도체 소자 제조 방법 |
US8969865B2 (en) | 2005-10-12 | 2015-03-03 | Hewlett-Packard Development Company, L.P. | Semiconductor film composition |
JP2007178452A (ja) | 2005-12-26 | 2007-07-12 | Kao Corp | 電子写真用トナーの製造方法 |
DE602007011219D1 (de) | 2006-02-16 | 2011-01-27 | Kaneka Corp | Härtbare zusammensetzung |
KR100823718B1 (ko) | 2006-04-13 | 2008-04-21 | 주식회사 엘지화학 | 전자파 차폐층 제조시 무전해도금에 대한 촉매 전구체수지조성물, 이를 이용한 금속패턴 형성방법 및 이에 따라제조된 금속패턴 |
JP5100646B2 (ja) | 2006-06-09 | 2012-12-19 | 本州化学工業株式会社 | 新規なトリス(ホルミルフェニル)類及びそれから誘導される新規な多核ポリフェノール類 |
JP5362176B2 (ja) | 2006-06-12 | 2013-12-11 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20080055597A1 (en) | 2006-08-29 | 2008-03-06 | Jie-Wei Sun | Method for characterizing line width roughness (lwr) of printed features |
JP2008091215A (ja) | 2006-10-02 | 2008-04-17 | Nitto Kasei Co Ltd | 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜 |
JP2010508560A (ja) * | 2006-11-01 | 2010-03-18 | ステイト オブ オレゴン アクティング バイ アンド スルー ザ ステイト ボード オブ ハイヤー エデュケーション オン ビハーフ オブ オレゴン ステイト ユニバーシティー | 溶液処理薄膜および積層体、薄膜および積層体を備えた装置、その使用および製造方法 |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US8551651B2 (en) * | 2006-12-15 | 2013-10-08 | Tokyo Ohka Kogyo Co., Ltd. | Secondary cell having negative electrode base member |
KR101431297B1 (ko) | 2007-03-28 | 2014-08-20 | 제이에스알 가부시끼가이샤 | 포지티브형 감방사선성 조성물 및 그것을 이용한 레지스트 패턴 형성 방법 |
TWI334177B (en) | 2007-03-29 | 2010-12-01 | Nanya Technology Corp | Method for forming a semiconductor device |
US7799503B2 (en) | 2007-05-17 | 2010-09-21 | International Business Machines Corporation | Composite structures to prevent pattern collapse |
US7718546B2 (en) | 2007-06-27 | 2010-05-18 | Sandisk 3D Llc | Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon |
US7858144B2 (en) | 2007-09-26 | 2010-12-28 | Eastman Kodak Company | Process for depositing organic materials |
WO2009086184A1 (en) | 2007-12-21 | 2009-07-09 | The Regents Of The University Of California | Organo-metallic hybrid materials for micro- and nanofabrication |
US20090174036A1 (en) | 2008-01-04 | 2009-07-09 | International Business Machines Corporation | Plasma curing of patterning materials for aggressively scaled features |
US7906031B2 (en) * | 2008-02-22 | 2011-03-15 | International Business Machines Corporation | Aligning polymer films |
WO2009120169A1 (en) * | 2008-03-27 | 2009-10-01 | State Of Oregon Acting By And Through The State Board Of Higher Education On Behalf Of Oregon State University | Solution processed thin films and laminates, devices comprising such thin films and laminates, and method for the use and manufacture |
JP5238023B2 (ja) | 2008-05-14 | 2013-07-17 | 東京応化工業株式会社 | ポジ型感光性組成物 |
EP2123659A1 (en) | 2008-05-15 | 2009-11-25 | Arkema France | High purity monoalkyltin compounds and uses thereof |
US8257910B1 (en) * | 2008-06-24 | 2012-09-04 | Brewer Science Inc. | Underlayers for EUV lithography |
GB0811930D0 (en) | 2008-06-30 | 2008-07-30 | Imec Inter Uni Micro Electr | Polymerisable compounds for making opto-electronic devices |
US8158338B2 (en) * | 2008-07-08 | 2012-04-17 | Massachusetts Institute Of Technology | Resist sensitizer |
EP2309332A4 (en) | 2008-07-15 | 2012-01-25 | Jsr Corp | POSITIVE TYPE SENSITIVE SENSITIVE COMPOSITION, AND RESIST PATTERN FORMATION METHOD |
JP5264393B2 (ja) * | 2008-10-01 | 2013-08-14 | 東京応化工業株式会社 | レジストパターン形成方法 |
IL200996A0 (en) | 2008-10-01 | 2010-06-30 | Bayer Materialscience Ag | Photopolymer formulations having a low crosslinking density |
JP2010094583A (ja) | 2008-10-14 | 2010-04-30 | Nippon Soda Co Ltd | 有機薄膜の製造方法 |
US8728710B2 (en) | 2009-03-31 | 2014-05-20 | Sam Xunyun Sun | Photo-imageable hardmask with dual tones for microphotolithography |
KR20110064153A (ko) | 2009-12-07 | 2011-06-15 | 삼성전자주식회사 | 금속 유기 전구체, 이의 제조방법, 및 이를 이용한 전도성 금속막 또는 패턴 형성방법 |
US8828493B2 (en) * | 2009-12-18 | 2014-09-09 | International Business Machines Corporation | Methods of directed self-assembly and layered structures formed therefrom |
US8366967B2 (en) | 2010-02-22 | 2013-02-05 | Inpria Corporation | Metal chalcogenide aqueous precursors and processes to form metal chalcogenide films |
US8435728B2 (en) | 2010-03-31 | 2013-05-07 | Tokyo Electron Limited | Method of slimming radiation-sensitive material lines in lithographic applications |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
EP2649135A1 (en) | 2010-12-08 | 2013-10-16 | Dow Corning Toray Co., Ltd. | Methods of modifying metal-oxide nanoparticles |
KR20120092950A (ko) | 2011-02-14 | 2012-08-22 | 에스케이하이닉스 주식회사 | 리소그래피-리소그래피-식각 공정에서의 오버레이 버니어 형성 방법 |
WO2012118847A2 (en) | 2011-02-28 | 2012-09-07 | Inpria Corportion | Solution processible hardmarks for high resolusion lithography |
US9684234B2 (en) | 2011-03-24 | 2017-06-20 | Uchicago Argonne, Llc | Sequential infiltration synthesis for enhancing multiple-patterning lithography |
JP5650086B2 (ja) | 2011-06-28 | 2015-01-07 | 信越化学工業株式会社 | レジスト下層膜形成用組成物、及びパターン形成方法 |
US9362126B2 (en) | 2011-09-22 | 2016-06-07 | Agency For Science, Technology And Research | Process for making a patterned metal oxide structure |
US8703386B2 (en) | 2012-02-27 | 2014-04-22 | International Business Machines Corporation | Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications |
US9679095B1 (en) | 2013-02-19 | 2017-06-13 | Mentor Graphics, A Siemens Business | Layout decomposition for multiple patterning lithography |
US20140303283A1 (en) | 2013-03-15 | 2014-10-09 | The Sherwin-Williams Company | Curable compositions |
US9005875B2 (en) | 2013-03-15 | 2015-04-14 | Intel Corporation | Pre-patterned hard mask for ultrafast lithographic imaging |
US9176373B2 (en) | 2013-07-31 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for decomposition of a single photoresist mask pattern into 3 photoresist mask patterns |
US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
US9372402B2 (en) | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
WO2015084523A1 (en) | 2013-12-05 | 2015-06-11 | Tokyo Electron Limited | Direct current superposition freeze |
US9778561B2 (en) | 2014-01-31 | 2017-10-03 | Lam Research Corporation | Vacuum-integrated hardmask processes and apparatus |
US20150234272A1 (en) | 2014-02-14 | 2015-08-20 | Intel Corporation | Metal oxide nanoparticles and photoresist compositions |
KR102696070B1 (ko) | 2014-10-23 | 2024-08-16 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
KR102204773B1 (ko) | 2015-10-13 | 2021-01-18 | 인프리아 코포레이션 | 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝 |
KR102394042B1 (ko) | 2016-03-11 | 2022-05-03 | 인프리아 코포레이션 | 사전패터닝된 리소그래피 템플레이트, 상기 템플레이트를 이용한 방사선 패터닝에 기초한 방법 및 상기 템플레이트를 형성하기 위한 방법 |
KR102329105B1 (ko) | 2016-08-12 | 2021-11-18 | 인프리아 코포레이션 | 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법 |
-
2010
- 2010-08-05 US US12/850,867 patent/US9176377B2/en active Active
-
2011
- 2011-05-13 TW TW100116897A patent/TWI446108B/zh active
- 2011-05-30 JP JP2011120402A patent/JP5872189B2/ja active Active
- 2011-05-30 EP EP24200622.9A patent/EP4455790A2/en active Pending
- 2011-05-30 CN CN2011101517897A patent/CN102269929B/zh active Active
- 2011-05-30 EP EP11168027.8A patent/EP2392969B1/en active Active
- 2011-05-30 EP EP15178638.1A patent/EP2988172B1/en active Active
- 2011-06-01 KR KR1020110052919A patent/KR101364953B1/ko active IP Right Grant
- 2011-10-28 US US13/284,531 patent/US8415000B2/en active Active
-
2015
- 2015-09-18 US US14/858,612 patent/US9823564B2/en active Active
- 2015-10-22 JP JP2015207978A patent/JP6280904B2/ja active Active
-
2017
- 2017-10-16 US US15/784,258 patent/US10782610B2/en active Active
- 2017-11-09 JP JP2017216745A patent/JP6824141B2/ja active Active
-
2020
- 2020-05-28 US US16/885,581 patent/US11392031B2/en active Active
-
2021
- 2021-01-12 JP JP2021002809A patent/JP7124137B2/ja active Active
-
2022
- 2022-06-14 US US17/839,784 patent/US11599022B2/en active Active
- 2022-08-10 JP JP2022128000A patent/JP7503605B2/ja active Active
- 2022-08-25 US US17/895,657 patent/US11693312B2/en active Active
-
2023
- 2023-05-22 US US18/200,125 patent/US11988961B2/en active Active
-
2024
- 2024-02-28 JP JP2024029096A patent/JP2024051126A/ja active Pending
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6280904B2 (ja) | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 | |
US9281207B2 (en) | Solution processible hardmasks for high resolution lithography | |
CN111240158B (zh) | 以有机金属溶液为主的高分辨率图案化组合物 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20121205 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20121218 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130318 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130322 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130417 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130910 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130913 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20131010 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20131016 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20140128 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20150918 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20151022 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20160113 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5872189 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |