JP5249937B2 - 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ - Google Patents

基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ Download PDF

Info

Publication number
JP5249937B2
JP5249937B2 JP2009529291A JP2009529291A JP5249937B2 JP 5249937 B2 JP5249937 B2 JP 5249937B2 JP 2009529291 A JP2009529291 A JP 2009529291A JP 2009529291 A JP2009529291 A JP 2009529291A JP 5249937 B2 JP5249937 B2 JP 5249937B2
Authority
JP
Japan
Prior art keywords
temperature
substrate
support
heating element
substrate holder
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009529291A
Other languages
English (en)
Other versions
JP2010506381A (ja
Inventor
ジェイ ストラング,エリック
雄二 塚本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010506381A publication Critical patent/JP2010506381A/ja
Application granted granted Critical
Publication of JP5249937B2 publication Critical patent/JP5249937B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0037Supports specially adapted for semi-conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Temperature (AREA)

Description

本発明は基板の温度制御システムに関し、より詳細には基板温度を制御する基板ホルダに関する。
半導体の製造及びプロセスにおいては、様々なプロセス-たとえばエッチングや堆積プロセスも含まれる-は基板温度に顕著に依存することが知られている。このような理由のため、基板温度の制御及び制御可能な調節を行う能力は半導体処理システムの重要な要素となってきている。基板温度は多くのプロセスによって決定される。その多くのプロセスには、周辺環境との放射性及び/又は伝熱性の熱のやり取りだけではなく、プラズマや化学プロセスと基板との相互作用も含まれるが、これらに限定されるわけではない。基板ホルダ上面に適切な温度を供することは、基板温度の制御に利用することができる。
米国特許第7230204号明細書 米国特許第6891124号明細書
本発明は基板温度を制御するシステムに関する。
本発明の一の態様によると、処理システム内で基板を支持する基板ホルダは、第1温度を有する温度制御された支持体底部、及び、該温度制御された支持体底部に対向して前記基板を支持するように備えられている基板支持体を有する。前記基板支持体と結合して該基板支持体を前記第1温度よりも高い第2温度に加熱するように備えられている1つ以上の加熱素子、及び、前記温度制御された支持体底部と前記基板支持体との間に設けられた断熱材も含まれる。前記断熱材での熱伝導係数(W/m2-K)は空間的に不均一に変化する。
本発明の他の態様によると、処理システム内で基板を支持する基板ホルダは、第1温度を有する温度制御された支持体底部、及び、該温度制御された支持体底部に対向して前記基板を支持するように備えられている基板支持体を有する。1つ以上の加熱素子は前記基板支持体と結合して該基板支持体を前記第1温度よりも高い第2温度に加熱するように備えられている。また前記温度制御された支持体底部と前記基板支持体との間の熱伝導係数(W/m2-K)を空間的に不均一に変化させる手段も含まれる。
本発明の実施例による基板処理システムのブロック図を表している。 本発明の実施例による基板ホルダの概略的断面図を表している。 基板ホルダの伝熱率と基板温度の典型的なプロファイルを図示している。 本発明の他の実施例による基板ホルダの概略的断面図を表している。 本発明の他の実施例による基板ホルダの概略的断面図を表している。 本発明の他の実施例による基板ホルダの概略的断面図を表している。 本発明の他の実施例による基板ホルダの概略的断面図を表している。 A及びBは典型的な温度の時間変化を表している。 本発明の実施例による基板温度の調節方法のフローチャートを表している。
以降の記載では、限定ではない説明目的で、たとえば処理システムの具体的な幾何学的形状、並びに様々な部品及び処理の記載といった具体的詳細について説明される。しかし本発明は、これらの具体的詳細から逸脱する他の実施例でも実施可能であることに留意して欲しい。
材料処理システム1が図1に図示されている。材料処理システム1は、基板ホルダ20を有する処理装置10及び前記基板ホルダ20上で支持されている基板25を有する。基板ホルダ20は、基板温度を調節するための温度制御素子を供するように備えられている。それに加えて温度制御素子は、均一又は不均一な基板温度を保証するために空間的に配置されて良い。後述するように、制御装置55は処理装置10及び基板ホルダ20と結合して、基板温度を監視、調節、及び制御するように備えられている。
図1に図示された実施例では、材料処理システム1はエッチングチャンバを有して良い。たとえばエッチングチャンバは乾式プラズマエッチングを補助して良いし、あるいはその代わりに乾式非プラズマエッチングを補助しても良い。材料処理システム1は、フォトレジストコーティングチャンバ、フォトレジストパターニングチャンバ、誘電体コーティングチャンバ、成長チャンバ、又は急速熱処理(RTP)チャンバを有する。フォトレジストコーティングチャンバとはたとえば、塗布後ベーキング(PAB)又は露光後ベーキング(PEB)に利用可能な、フォトレジストスピンコーティングシステム内の加熱/冷却モジュールである。フォトレジストパターニングチャンバとはたとえばフォトリソグラフィシステムである。誘電体コーティングチャンバとはたとえば、スピン・オン・グラス(SOG)又はスピン・オン・誘電体システムである。成長チャンバとはたとえば、気相成長システム、化学気相成長(CVD)システム、プラズマCVD(PECVD)システム、原子層堆積(ALD)システム、プラズマALDシステム、又は物理成長(PVD)システムである。急速熱処理(RTP)チャンバとはたとえばアニーリング用のRTPシステムである。
ここで図2Aを参照すると、一の実施例による基板ホルダが記載されている。基板ホルダ100は、第1温度を有して基板110を支持するように備えられている基板支持体130、基板支持体130の下に位置していて第1温度よりも低温である第2温度(たとえば所望の基板温度よりも低い温度)となるように備えられている温度制御された支持体底部120、及び基板支持体130と温度制御された支持体底部120との間に設けられた断熱材140を有する。それに加えて、基板支持体130は、該基板支持体130と結合してその温度を昇温させる(たとえば加熱する)ように備えられている1つ以上の加熱素子を有する(図示されていない)。本発明の実施例によると、第1温度は基板支持体にわたる温度勾配の一部であって良いし、かつ第2温度は温度制御された底部にわたる温度勾配の一部であって良いことに留意して欲しい。
一の実施例によると、断熱材140は、基板支持体130と温度制御された支持体底部120のいずれの熱伝導率よりも低い熱伝導率を有する。たとえば断熱材140の熱伝導率は1W/m-K未満である。望ましくは断熱材140の熱伝導率は約0.05W/m-Kから約0.8W/m-Kの範囲で、より望ましくは断熱材140の熱伝導率は約0.2W/m-Kから約0.8W/m-Kの範囲である。
断熱材140は、ポリマー、プラスチック、又はセラミックスからなる接着剤を有して良い。断熱材140は有機又は無機材料を含んで良い。たとえば断熱材140は、室温硬化(RTV)接着剤、たとえば熱可塑性プラスチックのようなプラスチック、たとえば熱硬化性樹脂又は注型用樹脂(すなわち注入可能なプラスチック又はエラストマー化合物)のような樹脂、エラストマー等を有して良い。基板支持体130と温度制御された支持体底部120との間に熱抵抗を供することに加えて、断熱材140は、基板支持体130と温度制御された支持体底部120との間に設けられた結合層すなわち接合層を供して良い。
断熱材140の厚さ及び組成は、必要なときに、支持体底部120とプラズマとの間での適当な高周波(RF)結合が維持可能となるように選ばれなければならない。さらに断熱材140は、熱勾配及び材料特性の差異によって生じる熱力学的剪断力に耐えるように選ばれなければならない。たとえば断熱材140の厚さは約10mm(ミリメートル)以下であって良い。望ましくは断熱材140の厚さは約5mm(ミリメートル)以下-たとえば2mm以下-であって良い。
それに加えて断熱材140の材料組成は、断熱材140が利用される環境に対して腐食耐性を示すような組成であることが好ましい。たとえば乾式プラズマエッチング環境が与えられるときには、断熱材140は、エッチングシステムによる洗浄プロセス中に用いられる腐食洗浄用化学物質だけではなく、エッチングプロセス中に用いられる腐食エッチング用化学物質に対して耐性を有していなければならない。多くのエッチング用化学物質及び洗浄用化学物質では、ハロゲン含有プロセスガスが利用される。ハロゲン含有プロセスガスは、Cl2、F2、Br2、HBr、HCl、HF、SF6、NF3、ClF3等を含むが、これらに限定されるわけではない。これらの化学物質-特に洗浄用化学物質-では、たとえばフッ素原子のような反応性のハロゲン原子を高濃度にすることが望ましい。
一の実施例によると、断熱材140は腐食耐性断熱材を有する。一の実施例では、断熱材全体は腐食耐性材料で作られる。あるいはその代わりに、断熱材140の一部-たとえばハロゲン含有ガスに曝露された部分-だけが腐食耐性材料を有して良い。たとえば腐食耐性材料は断熱材の周辺の曝露部分にのみ含まれていて良い。その一方で、断熱材の残りの部分は、所望の熱伝導係数を供するように選ばれた異なる材料組成を有して良い。
腐食耐性断熱材は、たとえばアクリルをベースとする材料又はアクリラートをベースとする材料のようなアクリル型材料を有して良い。アクリルをベースとする材料及びアクリラートをベースとする材料は、適切な触媒による反応によってアクリル酸又はメタクリル酸を重合化することによって生成されて良い。表1は材料の組成に対する腐食耐性の依存性を示すデータを与えている。たとえば、シリコーン含有接着剤及び(様々な製造元X、Y、Z、Q、R及びTによって調製された)一連のアクリル/アクリラート含有接着剤についてのデータが与えられている。そのデータは、プラズマ(すなわちRF出力がオンの状態)時間(単位hr)の関数としての腐食量(mm3)-つまりmm3/hr-を含む。表1に図示されているように、アクリル/アクリラート含有接着剤は、洗浄用プラズマ(たとえばSF6ベースのプラズマ)が施されたときの腐食よりも1桁小さな腐食しか受けないことを示す。
Figure 0005249937
さらに他の実施例によると、温度制御された支持体底部120と基板支持体130との間に設けられた断熱材140では、熱伝導係数(W/m2-K)は空間的に不均一に変化している。たとえば熱伝導係数は、(基板110の下であって)断熱材140の実質的中心領域と、(基板110の下であって)断熱材140の実質的端部領域との間を半径方向に変化して良い。熱伝導係数の空間変化は、断熱材140の熱伝導率(W/m-K)の空間的に不均一な変化を含んで良い。かつ/あるいは、熱伝導係数の空間変化は、断熱材140の厚さの空間的に不均一な変化を含んで良い。本明細書において用いられているように、パラメータの「空間的に不均一な変化」とは、基板ホルダの領域にわたるパラメータの空間的変化であって、基板ホルダにわたって不可避的に生じる小さな変化ではなく設計によって生じる変化を意味する。さらに「断熱材の実質的中心領域」という語は、基板が基板ホルダ上に場合において、その基板の中心と重なる断熱材の領域を意味する。「断熱材の実質的端部領域」という語は、基板が基板ホルダ上に場合において、その基板の端部と重なる断熱材の領域を意味する。
図2Bに図示されているように、熱伝導率は、断熱材140の実質的中心領域と、断熱材140の実質的周辺領域との間を半径方向に変化して良い。たとえば熱伝導率は、約0.2W/m-Kから約0.8W/m-Kの間である第1値と、約0.2W/m-Kから約0.8W/m-Kの間である第2値との間で変化して良い。それに加えてたとえば熱伝導率は、断熱材140の実質的に中心領域付近では約0.2W/m-Kであって良く、かつ断熱材140の実質的に端部領域付近では約0.8W/m-Kであって良い。さらに加えてたとえば、断熱材140の半径の半分の領域と、断熱材140の実質的に周辺領域との間で、熱伝導率の変化が実質的に生じる。図2Bに図示されているように、温度は、中心から端部へ、第1温度(T1)から第2温度(T2)へ変化して良い。係る熱伝導率(及び温度)の変化は、基板周辺端部の過熱に対向するため、たとえば基板を取り囲むピントリングによって与えられて良い。
図3に図示されているように、他の実施例による基板ホルダが記載されている。基板ホルダ200は、第1温度を有して基板210を支持するように備えられている基板支持体230、基板支持体230の下に位置していて第1温度よりも低温である第2温度(たとえば所望の基板温度よりも低い温度)となるように備えられている温度制御された支持体底部220、及び基板支持体230と温度制御された支持体底部220との間に設けられた断熱材240を有する。それに加えて、基板支持体230は、該基板支持体230と結合してその温度を昇温させる(たとえば加熱する)ように備えられている1つ以上の加熱素子を有する(図示されていない)。断熱材240は不均一な厚さを有する。
図示されているように、(基板210の下に設けられた)断熱材240の厚さは、実質的に中心領域で薄く、かつ基板210の下であって実質的に端部領域で相対的に厚い。あるいはその代わりに、その厚さは実質的に中心領域で厚く、かつ基板210の実質的に端部領域で相対的に薄くても良い。断熱材240の不均一な厚さは、支持体底部220上の平坦ではない上面によって、若しくは基板支持体240の平坦ではない下面によって、又はこれらの組合せによって与えられて良い。さらにあるいはその代わりに、断熱材240の熱伝導率とは異なる熱伝導率を有する材料層が、支持体底部220の上面、又は基板支持体230の下面のいずれかの一部上に設けられて良い。たとえばカプトン(Kapton)(登録商標)、ベスペル(Vespel) (登録商標)、テフロン(Teflon)(登録商標)等からなる層が、基板210の下であって実質的に中心領域上又は基板210の下であって実質的に周辺領域上に設けられて良い。
ここで図4を参照すると、他の実施例による基板ホルダが記載されている。基板ホルダ300は、第1温度を有して基板310を支持するように備えられている基板支持体330、基板支持体330の下に位置していて第1温度よりも低温である第2温度(たとえば所望の基板310の温度よりも低い温度)となるように備えられている温度制御された支持体底部320、及び基板支持体330と温度制御された支持体底部320との間に設けられた断熱材340を有する。それに加えて、基板支持体330は、該基板支持体330と結合してその温度を昇温させる(たとえば加熱する)ように備えられている1つ以上の加熱素子を有する(図示されていない)。
図4に図示されているように、支持体底部320は、複数の突起すなわち隆起部342を有する。その複数の突起部342は、断熱材340へ部分的に入り込む(又は貫通する)ように延びている。さらに突起の個数密度は、基板ホルダの実質的に中心領域344と実質的に周辺領域346との間で変化して良い。たとえば高密度の突起が周辺領域346に設けられる一方で、相対的に低密度の突起が中心領域344に設けられて良い。あるいはその代わりに、たとえば低密度の突起が周辺領域346に設けられる一方で、相対的に高密度の突起が中心領域344に設けられても良い。突起の密度変化に加えて、又は密度変化の代わりに、突起の寸法及び/又は形状が変化しても良い。
温度制御された支持体底部120(220,320)は金属材料又は非金属材料から作られて良い。たとえば支持体底部120(220,320)はアルミニウムから作られて良い。それに加えてたとえば、支持体底部120(220,320)は相対的に高い熱伝導率を有する材料で形成されて良い。それにより支持体底部の温度を相対的に一定温度に維持することが可能となる。温度制御された支持体は、たとえば冷却素子のような1つ以上の温度制御素子によって能動的に制御されることが好ましい。しかし温度制御された支持体は、たとえば周辺環境に対して表面積を増大させるによって自由対流を促進する冷却フィンを用いた受動冷却を供しても良い。支持体底部120(220,320)はさらに、該底部を貫通する通路を有して良い(図示されていない)。その通路は、電力と基板支持体の1つ以上の加熱素子との結合、電力と静電固定用電極との結合、熱輸送ガスと基板背面との圧縮空気による結合を可能にする。
基板支持体130(230,330)は金属材料又は非金属材料から作られて良い。基板支持体130(230,330)はたとえばセラミックスのような非伝導性材料から作られても良い。たとえば基板支持体130(230,330)はアルミナから作られて良い。
一の実施例によると、1つ以上の加熱素子は基板支持体130(230,330)内部に埋め込まれる。1つ以上の加熱素子は、一緒に焼成されることでモノリシック部分を形成する2つのセラミックス部分間に設けられて良い。あるいはその代わりに、セラミックスの第1層が断熱材上に熱的に噴霧される。それに続いて、第1セラミックス層上に1つ以上の加熱素子が熱的に噴霧され、その後1つ以上の加熱素子上に第2セラミックス層が熱的に噴霧される。同様の手法を用いて、他の電極又は金属層が、基板支持体130(230,330)内に挿入されて良い。たとえば静電固定用電極が、セラミックス層間に挿入され、かつ上述の焼成又は噴霧方法によって形成されて良い。1つ以上の加熱素子及び静電固定用電極は同一面内であって良いし、それぞれ別な面に存在しても良い。また1つ以上の加熱素子及び静電固定用電極は、それぞれ別個の電極として実装されて良いし、又は同一電極として実装されても良い。
ここで図5を参照すると、他の実施例による基板ホルダが記載されている。基板ホルダ400は、第1温度を有して基板410を支持するように備えられている基板支持体430、基板支持体430の下に位置していて第1温度よりも低温である第2温度(たとえば所望の基板410の温度よりも低い温度)となるように備えられている温度制御された支持体底部420、及び基板支持体430と温度制御された支持体底部420との間に設けられた断熱材440を有する。それに加えて、基板支持体430は、該基板支持体430と結合してその温度を昇温させる(たとえば加熱する)ように備えられている1つ以上の加熱素子を有する(図示されていない)。さらに支持体底部420は、該支持体底部420と結合して基板支持体430の温度を降温するように備えられている1つ以上の冷却素子を有する。その降温は、断熱材440を介して基板支持体430から熱を除去することによって行われる。
1つ以上の加熱素子431は、加熱流体チャネル、抵抗加熱素子、又は熱をウエハへ輸送するようにバイアスが印加された熱電素子のうちの少なくとも1つを有する。さらに図5に図示されているように、1つ以上の加熱素子431は加熱素子制御ユニット432と結合する。加熱素子制御ユニット432は、各加熱素子の独立又は従属制御を供し、かつ制御装置450と情報のやり取りを行うように備えられている。
たとえば1つ以上の加熱素子431は1つ以上の加熱チャネルを有して良く、その加熱チャネルは、伝熱性対流加熱を供するような流体-たとえば水、フロリナート、ガルデンHT-135等-の流速を可能にする。流体温度は熱交換器によって昇温される。流体の流速及び流体の温度はたとえば、加熱素子制御ユニット432によって設定、監視、調節、及び制御可能である。
あるいはその代わりに、たとえば1つ以上の加熱素子431は、1つ以上の抵抗加熱素子-たとえばタングステン、ニッケル-クロム合金、アルミニウム-鉄合金、窒化アルミニウム等のフィラメント-を有して良い。抵抗加熱素子を作製するための市販材料には、カンタル(Kanthal)、ニクロタル(Nikrothal)、アクロタル(Akrothal)が含まれる。これらはカンタル社によって製造されている金属合金の登録商標である。カンタルファミリーは鉄合金(FeCrAl)を含み、かつニクロタルファミリーはオーステナイト合金(NiCr,NiCrFe)を有する。たとえば加熱素子は、ワトロー(Watlow)社から市販されている最大動作温度が400℃から450℃の能力を有する先付け(cast-in)ヒーター、又はワトロー社から市販されている動作温度が300℃で出力密度が最大23.25W/cm2の膜状ヒーターを有して良い。それに加えてたとえば、加熱素子は、1400W(すなわち5W/(インチ)2)の能力を有するシリコーン製ゴムヒーター(厚さ1.0mm)を有して良い。電流がフィラメントを流れるとき、電力は熱として消えるので、加熱素子制御ユニット432はたとえば制御可能なDC電源を有して良い。低温及び低出力密度に適した他のヒーターの選択肢はカプトン(Kapton)ヒーターである。カプトンヒーターは、カプトン(たとえばポリイミド)シート中に埋め込まれたフィラメントで構成される。カプトンヒーターはミンコ(Minco)社で販売されている。
あるいはその代わりにたとえば、1つ以上の加熱素子431は、各素子を流れる電流の方向に依存して基板を加熱又は冷却する能力を有する熱電素子のアレイを有して良い。よって素子431が「加熱素子」と呼ばれる一方で、これらの素子は、迅速な温度変化を与えるため、冷却する能力を有して良い。さらに加熱及び冷却機能は基板支持体430内部の各独立した素子によって供されて良い。典型的な熱電素子は、アドバンストサーモエレクトリック(Advanced Thermoelectric)社から市販されているモデルST-127-1.4-8.5Mである(72Wの最大熱輸送出力が可能な40mm×40mm×3.4mmの熱電デバイス)。従って加熱素子制御ユニット432はたとえば制御可能な電源を有して良い。
1つ以上の冷却素子421は、冷却チャネル又は熱電素子のうちの少なくとも1つを有して良い。さらに図5に図示されているように、1つ以上の冷却素子421は冷却素子制御ユニット422と結合する。冷却素子制御ユニット422は、各冷却素子の独立又は従属制御を供し、かつ制御装置450と情報のやり取りを行うように備えられている。
たとえば1つ以上の冷却素子421は1つ以上の冷却チャネルを有して良く、その冷却チャネルは、伝熱性対流冷却を供するような流体-たとえば水、フロリナート、ガルデンHT-135等-の流速を可能にする。流体温度は熱交換器によって降温される。流体の流速及び流体の温度はたとえば、冷却素子制御ユニット422によって設定、監視、調節、及び制御可能である。あるいはその代わりに、たとえば加熱中に、1つ以上の冷却素子421を流れる流体の流体温度は、1つ以上の加熱素子431による加熱を補うように昇温しても良い。あるいはその代わりにたとえば、1つ以上の冷却素子421を流れる流体の流体温度は、1つ以上の冷却素子421による冷却を補うように降温しても良い。
あるいはその代わりにたとえば、1つ以上の冷却素子421は、各素子を流れる電流の方向に依存して基板を加熱又は冷却する能力を有する熱電素子のアレイを有して良い。よって素子431が「冷却素子」と呼ばれる一方で、これらの素子は、迅速な温度変化を与えるため、加熱する能力を有して良い。さらに加熱及び冷却機能は基板支持体430内部の各独立した素子によって供されて良い。典型的な熱電素子は、アドバンストサーモエレクトリック(Advanced Thermoelectric)社から市販されているモデルST-127-1.4-8.5Mである(72Wの最大熱輸送出力が可能な40mm×40mm×3.4mmの熱電デバイス)。従って冷却素子制御ユニット422はたとえば制御可能な電源を有して良い。
それに加えて図5に図示されているように、基板ホルダ400は、基板支持体430内部に埋め込まれた1つ以上の固定用電極435を有する静電固定(ESC)をさらに有して良い。ESCは電気的接続によって固定用電極435と結合する高電圧(HV)DC電源434をさらに有する。係る固定の設計及び実装は静電固定システムの当業者には周知である。さらにHV DC電源434は制御装置450と結合して制御装置450と情報をやり取りするように備えられている。
さらに図5に図示されているように、基板ホルダ400は、少なくとも1本の気体供給ライン及び複数のオリフィスとチャネル(図示されていない)のうちの少なくとも1本を介して、伝熱気体を基板410の背面へ供給する背面気体供給システム436をさらに有して良い。伝熱気体は、たとえばヘリウム、アルゴン、キセノンのような不活性気体、又は酸素、窒素、若しくは水素のような他の気体を含む。背面気体供給システムはたとえば、2領域(中心/端部)システム、又は3領域(中心/半径の半分/端部)システムのような複数領域供給システムであって良い。背圧は、中心から端部へ半径方向に変化して良い。さらに背面気体供給システム436は、制御装置450と結合し、かつ情報のやり取りを行うように備えられている。
さらに図5に図示されているように、基板ホルダ400は、温度監視システム460と結合する1つ以上の温度センサ462をさらに有して良い。1つ以上の温度センサ462は基板410の温度を測定するように備えられていて良い。かつ/あるいは1つ以上の温度センサ462は基板支持体430の温度を測定するように備えられていて良い。たとえば1つ以上の温度センサ462は、図5に図示されているように温度が基板支持体430の下面で測定されるように、又は基板410の底部の温度が測定されるように、設置されて良い。
温度センサは、光ファイバ温度計、光パイロメータ、特許文献2に記載されたバンド端温度測定システム、又はK型熱電対のような熱電対(破線で示されている)を有して良い。光温度計の例には、アドバンストエナジー(Advanced Energy)社から市販されている型番OR2000Fの光ファイバ熱電対、ルクストロン(Luxtron)社から市販されている型番M600の光ファイバ熱電対、又は高岡電気製作所から市販されている型番FT-1420の光ファイバ熱電対が含まれる。
温度監視システム460は、プロセス前、プロセス中、又はプロセス後のいずれかの段階で、加熱素子、冷却素子、背面気体供給システム、又はESC用のHV DC電源にセンサの情報を供して良い。
制御装置450は、マイクロプロセッサ、メモリ、及びデジタルI/Oポート(場合によってはD/AやA/D変換器を含む)を有する。デジタルI/Oポートは、基板ホルダ400からの出力を監視するのみならず、基板ホルダ400の入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。図5に図示されているように、制御装置450は、加熱素子制御ユニット432、冷却素子制御ユニット422、HV DC電源434、背面気体供給システム436、及び温度監視システム460と結合して、これらと情報のやり取りをして良い。メモリに記憶されたプログラムは、記憶されたプロセスレシピに従って、基板ホルダ400の上記構成部品との相互作用に利用される。制御装置450の一例はデルコーポレーションから販売されているDELL PRECISION WORKSTATION610(商標)である。
制御装置450はまた、汎用コンピュータ、プロセッサ、デジタル信号プロセッサ等で実装されても良い。その制御装置は、基板処理装置に、コンピュータによる読み取りが可能な媒体から制御装置に格納されている1以上の命令に係る1以上のシーケンスを実行する制御装置450に応答して、本発明に係る処理工程の一部又は全部を実行させる。コンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。コンピュータによる読み取りが可能な媒体の例には、コンパクトディスク(たとえばCD-ROM)若しくは他の光学式媒体、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM若しくは他の磁気媒体、パンチカード、紙テープ若しくは穴のパターンを有する他の物理媒体、又は搬送波(後述)若しくはコンピュータによる読み取りが可能な他の媒体がある。
制御装置450は、基板ホルダ400に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して基板ホルダ400に対して離れた場所に設置されても良い。よって制御装置450は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって基板ホルダ400とのデータのやり取りをして良い。制御装置450は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
任意で基板ホルダ400は、処理領域内であって基板410の上に存在するプラズマとRF出力とを結合させる電極を有して良い。たとえば支持体底部420は、RF発生装置からインピーダンス整合ネットワークを介して基板ホルダ400へRF出力を伝送することによって、RF電圧に電気的にバイアスが印加されて良い。RFバイアスは、電極を加熱してプラズマを生成及び維持する機能、並びに/又は基板410上に入射するイオンエネルギーを制御するための基板410にバイアスを印加する機能を果たして良い。この構成では、システムは反応性イオンエッチング(RIE)反応装置として動作して良い。チャンバ及び気体注入電極は接地電極として機能する。RFバイアスの典型的な周波数は1MHzから100MHzの範囲で、好適には13.56MHzである。
あるいはその代わりに、RF出力は、複数の周波数で基板ホルダ電極へ印加されて良い。さらにインピーダンス整合ネットワークは、反射出力を最大にすることによって、処理チャンバ内のプラズマへのRF出力の伝送を最大にするように機能して良い。様々な整合ネットワーク形態(たとえばL型、π型、T型等)及び自動制御方法が利用されて良い。
ここで図6を参照すると、他の実施例による基板ホルダが記載されている。基板ホルダ500は、第1温度を有して基板510を支持するように備えられている基板支持体530、基板支持体530の下に位置していて第1温度よりも低温である第2温度(たとえば所望の基板510の温度よりも低い温度)となるように備えられている温度制御された支持体底部520、及び基板支持体530と温度制御された支持体底部520との間に設けられた断熱材540を有する。それに加えて、基板支持体530は、該基板支持体530と結合してその温度を昇温させるように備えられている中心加熱素子533(基板510の下であって実質的に中心領域に設置されている)及び端部加熱素子531(基板510の下であって実質的に端部又は周辺領域に設置されている)を有する。さらに支持体底部520は、該支持体底部520と結合して基板支持体530の温度を降温するように備えられている1つ以上の冷却素子521を有する。その降温は、断熱材540を介して基板支持体530から熱を除去することによって行われる。
図6に図示されているように、中心加熱素子533及び端部加熱素子531は加熱素子制御ユニット532と結合する。加熱素子制御ユニット532は、各加熱素子の独立又は従属制御を供し、かつ制御装置550と情報のやり取りを行うように備えられている。
それに加えて図6に図示されているように、基板ホルダ500は、基板支持体530内部に埋め込まれた1つ以上の固定用電極535を有する静電固定(ESC)をさらに有して良い。ESCは電気的接続によって固定用電極535と結合する高電圧(HV)DC電源534をさらに有する。係る固定の設計及び実装は静電固定システムの当業者には周知である。さらにHV DC電源534は制御装置550と結合して制御装置550と情報をやり取りするように備えられている。
さらに図6に図示されているように、基板ホルダ500は、少なくとも1本の気体供給ライン及び複数のオリフィスとチャネル(図示されていない)のうちの少なくとも1本を介して、伝熱気体を基板510の背面の中心領域及び端部領域へ供給する背面気体供給システム536をさらに有して良い。伝熱気体は、たとえばヘリウム、アルゴン、キセノンのような不活性気体、又は酸素、窒素、若しくは水素のような他の気体を含む。背面気体供給システムはたとえば、図示されているように、2領域(中心/端部)システムを有して良い。2領域(中心/端部)システムでは、背圧が中心から端部へ半径方向に変化して良い。さらに背面気体供給システム536は、制御装置550と結合し、かつ情報のやり取りを行うように備えられている。
さらに図6に図示されているように、基板ホルダ500は、基板510の下であって実質的に中心領域の温度を測定する中心温度センサ562、及び基板510の下であって実質的に端部領域の温度を測定する端部温度センサ564を有する。中心温度センサ562及び端部温度センサ564は温度監視システム560と結合する。
ここで図8を参照すると、他の実施例に従った、処理システム内で基板ホルダ上の基板温度を制御する方法を表すフローチャートが与えられる。たとえば温度制御方法は、図1-6に記載されたうちの1つのような基板ホルダを有する処理システム内でのプロセスを行うための複数の処理工程に関連すると考えられる。当該方法700は、710での基板ホルダ上に基板を設ける手順で開始される。
基板ホルダは、少なくとも基板及び/又は基板ホルダの内側領域と外側領域での温度を報告する複数の温度センサを有する。それに加えて、基板ホルダは、内側領域を加熱する第1加熱素子と外側領域を加熱する第2加熱素子を有する基板支持体、及び内側領域と外側領域を冷却する冷却素子を有する支持体底部を含む。第1加熱素子と第2加熱素子、及び冷却素子は、選択可能な設定温度に基板温度を維持するように温度制御システムによって制御される。さらに基板ホルダは、基板支持体と支持体底部との間に設けられた断熱材を有する。
手順720では、基板は第1温度プロファイルに設定される。温度制御システムを用いることによって、底部支持体の第1底部温度(第1温度プロファイル(たとえば基板温度)よりも低い)、第1内側設定温度と第1外側設定温度が選択される。その後温度制御システムは、冷却素子及び第1加熱素子と第2加熱素子を調節して、上述の選択された温度を実現する。
手順730では、基板は第2温度プロファイルに設定される。温度制御システムを用いることによって、底部支持体の第2底部温度、及び第2内側設定温度と第2外側設定温度が選択される。その後温度制御システムは、任意で冷却素子を調節して第1底部温度を第2底部温度に変更し、かつ第2内側設定温度と第2外側設定温度が実現されるまで内側加熱素子と外側加熱素子を調節することにより、基板温度を第1温度プロファイルから第2温度プロファイルへ変更する。
一の例では、基板温度は第1温度プロファイルから第2温度プロファイルへ昇温(又は降温)される一方で、第2底部温度は第1底部温度と同一のままである。内側加熱素子と外側加熱素子へ供給される出力は、基板を第1温度プロファイルから第2温度プロファイルへ加熱(又は冷却)するため、増大(又は減少)される。
他の例では、基板温度は第1温度プロファイルから第2温度プロファイルへ昇温(又は降温)される一方で、第2底部温度は第1底部温度とは異なる値に変更される。内側加熱素子と外側加熱素子へ供給される出力は、基板を第1温度プロファイルから第2温度プロファイルへ加熱(又は冷却)するため、増大(又は減少)される。その一方で、冷却素子へ供給される出力は、第1底部温度を第2底部温度へ変更するために増大(又は減少)される。よって本発明の一の実施例によると、支持体底部の温度は、基板温度を制御する際に基板支持体を補助するように変化する。本願発明者らは、支持体底部のこのような温度変化は、より正確かつ/又は迅速な基板温度遷移を供することを可能にすることを認識していた。
温度制御システムは、温度監視システムによって与えられた測定値に応答して安定的に(複数の種類の)温度を調節するため、制御アルゴリズムを利用する。制御アルゴリズムはたとえば、PID(比例、積分、微分)制御装置を有して良い。PID制御装置では、s領域(ラプラス空間)での伝達関数を以下のように表すことができる。
Gc(s)=KP+KDs+KIs-1 (1)
ここでKP、KD、及びKIは本明細書において1組のPIDパラメータと呼ばれる定数である。制御アルゴリズムの設計課題は、温度制御システムの所望の性能を実現するための1組のPIDパラメータを選択することである。
図7Aを参照すると、複数の典型的な温度の時間変化が図示されている。この温度変化は、どのようにして各異なる組のPIDパラメータが各異なる温度応答となるのかを示す。各条件において、温度は第1値から第2値に増大する。温度の第1時間変化601は、相対的に小さなKIの値を有する相対的に激しい制御方法を表している。この激しい制御方法では、時間変化は「オーバーシュート」を示し、そのオーバーシュートの後は一連の振動を示している。温度の第2時間変化602は、相対的に大きなKIの値を有する相対的に激しくない制御方法を表している。この激しくない制御方法では、時間変化は相対的に遅く穏やかな第2温度への上昇を示している。温度の第3時間変化603は、第1時間変化601での値と第2時間変化602での値の中間の範囲に属するKIの値を有する、所望である適度な激しさの制御方法を表している。この所望である適度な激しさの制御方法では、時間変化は、オーバーシュートすることなく相対的に速く第2温度へ上昇することを示している。しかし本願発明者らは、安定性と上昇率についての所望の条件を与えるのに、1組のPIDパラメータしか用いないのでは十分でないことを認識していた。
一の実施例によると、2組以上のPIDパラメータの組が、初期値と最終値との間での迅速で安定な温度調節を実現するのに利用される。図7Bは2組以上のPIDパラメータの組を利用する典型的な温度変化600を図示している。第1組のPIDパラメータは第1期間622で用いられ、第2組のPIDパラメータは第2期間624で用いられる。第1期間622は温度の最終値からの温度オフセット620を設定することによって決定されて良い。たとえば温度オフセットは、初期値と最終値との温度差の約50%から約99%の範囲であって良い。それに加えてたとえば、温度オフセットは、初期値と最終値との温度差の約75%から約95%の範囲であって良い。望ましくは、温度オフセットは約80%から約95%の範囲であって良い。
たとえば相対的に激しいPIDパラメータの組は第1期間622に用いられ、他方相対的に激しくないPIDパラメータの組は第2期間624に用いられて良い。あるいはその代わりにたとえば、第1組のPIDから第2組のPIDでPIDパラメータKDは増大して良く、かつ/あるいは第1組のPIDから第2組のPIDでPIDパラメータKDは減少して良い。
たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。

Claims (16)

  1. 温度設定可能な熱媒体が循環する流体路を内部に有する支持体底部と、
    前記基板上部と断熱材を介して一体として結合した基板支持体とを有し、
    前記断熱材は、前記基板支持体と前記支持体底部とを結合する接着剤で
    前記基板支持体は、基板を、該基板の裏面と前記基板支持体の表面とが静電吸着によって接した状態で保持し、前記基板支持体の内部に設けた複数の加熱素子の温度設定を行い、
    前記支持体底部は、前記熱流体の温度を、前記基板の処理に係る複数のステップ毎に設定し、
    前記複数の加熱素子の温度及び前記熱流体の温度の設定は、前記基板支持体内部に設けられた少なくとも2つの温度センサにより得られる基板又は前記基板支持体の温度に基づいて調整され、
    前記少なくとも2つの温度センサは、前記基板の下の中心領域での温度を測定する中心温度センサ、及び、前記基板の下の端部領域での温度を測定する端部温度センサを有し、
    前記中心温度センサと前記端部温度センサはそれぞれ、温度監視システムと結合し、
    前記支持体底部、前記基板支持体、及び、前記少なくとも2つの温度センサは、制御システムへの結合を供する構造を有し、それにより、前記基板支持体内の複数の加熱素子の温度と、前記支持体底部内の温度制御された流体の温度は、複数のステップの各ステップの間、前記少なくとも2つの温度センサの測定温度に基づいて調節される、
    基板ホルダ。
  2. 前記加熱素子は、抵抗加熱素子または加熱チャネルにより構成される、請求項1に記載の基板ホルダ。
  3. 前記加熱素子の温度設定は、加熱素子制御ユニットにより独立に制御されることで、該基板全面にわたる温度勾配を与える、請求項1に記載の基板ホルダ。
  4. 前記基板支持体の表面に設けたオリフィスを介して、伝熱ガスを、該基板の裏面へ供給する背面ガス供給システムをさらに有する、請求項3に記載の基板ホルダ。
  5. 前記背面ガス供給システムは、前記オリフィスを支持体表面の複数の領域に配置することで、前記基板の裏面の半径方向に中心から端部へ向けて背面圧を変化させる、請求項4に記載の基板ホルダ。
  6. 前記複数の領域は、前記基板支持体の上面に存在する温度の異なる複数の領域に対応する、請求項5に記載の基板ホルダ。
  7. 前記温度監視システムは、プロセス前、プロセス中又はプロセス後に、前記加熱素子制御ユニット又は前記背面ガス供給システムにセンサ情報を供する、請求項4に記載の基板ホルダ。
  8. 前記加熱素子制御ユニット、前記背面ガス供給システム及び前記温度監視システムは、制御装置により結合され、互いに情報をやり取りする、請求項7に記載の基板ホルダ。
  9. 前記情報のやり取りは、プロセスを実行するためのプロセスレシピに従って実行される前記複数のステップ毎に行なわれる、請求項8に記載の基板ホルダ。
  10. 前記断熱材の熱伝導率が、不均一な空間変化を有する、請求項9に記載の基板ホルダ。
  11. 前記断熱材の厚さが、不均一な空間変化を有する請求項10に記載の基板ホルダ。
  12. 前記基板支持体は、複数のセラミック部材の積層からなり、前記抵抗加熱素子が該セラミックス部材間に設けられ一体として焼成された、請求項1に記載の基板ホルダ。
  13. 前記基板支持体は、第一のセラミック層と、該セラミック部材の表面に熱的に塗布形成した前記抵抗加熱素子からなる、請求項1に記載の基板ホルダ。
  14. 基板の裏面を支持体表面に静電吸着させて、保持する工程と、
    前記支持体内部に設けられた複数の加熱素子の温度を設定する工程と、
    前記支持体の下部と断熱材を介して結合した支持体底部の内部に構成された流体路を循環する熱流体の温度を設定する工程であって、前記断熱材は前記基板支持体と前記支持体底部とを結合する接着剤である工程と、
    前記基板処理時に、前記加熱素子及び前記熱流体の温度の設定を、前記支持体内部に設けられた温度センサにより得られる基板または基板支持体の温度に基づいて複数回行なって調整する工程と、
    を有し、
    前記複数回行なって調整する工程は、温度監視システムから得られた情報に基づいて前記複数の加熱素子の温度を独立に調節する工程を有し、
    前記温度監視システムは前記中心温度センサと前記端部温度センサとそれぞれ結合し、
    前記中心温度センサは、前記基板の下の中心領域での温度を測定し、かつ、
    前記端部温度センサは、前記基板の下の端部領域での温度を測定する、
    半導体製造方法。
  15. 前記加熱素子及び前記熱流体の温度の設定の工程は、プロセスを実行するためのプロセスレシピに従って実行される前記複数のステップ毎に行なわれる工程である、請求項14に記載の半導体製造方法。
  16. 前記加熱素子の温度の設定工程は、該基板全面にわたる温度勾配を与えるように、加熱素子制御ユニットにより各加熱素子が独立制御される工程である、請求項14に記載の半導体製造方法。
JP2009529291A 2006-09-25 2007-08-17 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ Active JP5249937B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/525,815 US7723648B2 (en) 2006-09-25 2006-09-25 Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US11/525,815 2006-09-25
PCT/US2007/076179 WO2008039611A2 (en) 2006-09-25 2007-08-17 Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013005239A Division JP2013149977A (ja) 2006-09-25 2013-01-16 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ

Publications (2)

Publication Number Publication Date
JP2010506381A JP2010506381A (ja) 2010-02-25
JP5249937B2 true JP5249937B2 (ja) 2013-07-31

Family

ID=39230851

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009529291A Active JP5249937B2 (ja) 2006-09-25 2007-08-17 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ
JP2013005239A Pending JP2013149977A (ja) 2006-09-25 2013-01-16 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013005239A Pending JP2013149977A (ja) 2006-09-25 2013-01-16 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ

Country Status (5)

Country Link
US (2) US7723648B2 (ja)
JP (2) JP5249937B2 (ja)
KR (2) KR20090071614A (ja)
CN (1) CN101682937B (ja)
WO (1) WO2008039611A2 (ja)

Families Citing this family (420)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8642480B2 (en) 2009-12-15 2014-02-04 Lam Research Corporation Adjusting substrate temperature to improve CD uniformity
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9709327B2 (en) * 2011-03-17 2017-07-18 Dry Ventures, Inc. Rapid rescue of inundated cellphones
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102842636B (zh) * 2011-06-20 2015-09-30 理想能源设备(上海)有限公司 用于化学气相沉积系统的基板加热基座
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
RU2461047C1 (ru) * 2011-07-05 2012-09-10 Государственное образовательное учреждение высшего профессионального образования Томский государственный университет систем управления и радиоэлектроники (ТУСУР) Устройство для стабилизации температуры элементов микросхем и микросборок
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5933222B2 (ja) 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US11713924B2 (en) 2012-02-01 2023-08-01 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10690413B2 (en) 2012-02-01 2020-06-23 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10240867B2 (en) 2012-02-01 2019-03-26 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10876792B2 (en) 2012-02-01 2020-12-29 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP5823915B2 (ja) * 2012-05-29 2015-11-25 新光電気工業株式会社 静電チャックの製造方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103578900B (zh) * 2012-08-06 2016-03-23 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
CN103578899B (zh) * 2012-08-06 2016-08-24 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9538583B2 (en) * 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP6110284B2 (ja) * 2013-11-21 2017-04-05 日本特殊陶業株式会社 静電チャック
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104980534B (zh) * 2014-09-17 2018-01-19 广东欧珀移动通信有限公司 一种移动终端的外壳温度调整装置及方法
JP6392612B2 (ja) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 静電チャック
JP6342769B2 (ja) * 2014-09-30 2018-06-13 日本特殊陶業株式会社 静電チャック
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9461107B2 (en) * 2014-10-14 2016-10-04 Shenzhen China Star Optoelectronics Technology Co., Ltd. Excimer laser annealing apparatus and method of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR101681493B1 (ko) * 2015-03-11 2016-12-05 (주)티티에스 서셉터 및 서셉터의 온도 가변 장치
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20170128585A (ko) * 2015-03-20 2017-11-22 어플라이드 머티어리얼스, 인코포레이티드 고온 폴리머 본드를 이용하여 금속 베이스에 본딩 결합된 세라믹 정전 척
JP5841281B1 (ja) * 2015-06-15 2016-01-13 伸和コントロールズ株式会社 プラズマ処理装置用チラー装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10154542B2 (en) 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6512089B2 (ja) * 2015-12-15 2019-05-15 東京エレクトロン株式会社 基板処理装置及び基板処理装置の調整方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017135387A1 (ja) 2016-02-05 2017-08-10 一般財団法人ファインセラミックスセンター セラミックス焼結体の製造方法、並びにセラミックス成形体の製造方法及び製造装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
RU2622234C1 (ru) * 2016-04-05 2017-06-13 Публичное акционерное общество Арзамасское научно-производственное предприятие "ТЕМП-АВИА" (ПАО АНПП "ТЕМП-АВИА") Устройство стабилизации температуры электронных изделий
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN106981416B (zh) * 2017-05-17 2019-11-26 武汉华星光电技术有限公司 利用准分子激光退火制作低温多晶硅的系统及其承载装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7030006B2 (ja) * 2018-04-12 2022-03-04 株式会社ディスコ 拡張方法及び拡張装置
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
US12027406B2 (en) * 2018-05-28 2024-07-02 Niterra Co., Ltd. Method for manufacturing holding device and holding device
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11784067B2 (en) * 2018-05-28 2023-10-10 Niterra Co., Ltd. Holding device and method for manufacturing holding device
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7419343B2 (ja) * 2018-08-15 2024-01-22 エヴァテック・アーゲー 低粒子プラズマエッチング用の方法および装置
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102608397B1 (ko) * 2018-10-16 2023-12-01 주식회사 미코세라믹스 미들 영역 독립 제어 세라믹 히터
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
RU2719733C1 (ru) * 2018-12-26 2020-04-22 Автономная некоммерческая образовательная организация высшего образования «Сколковский институт науки и технологий» (Сколковский институт науки и технологий) Эластичная электрическая схема и способ ее изготовления
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11430685B2 (en) * 2019-03-19 2022-08-30 Ngk Insulators, Ltd. Wafer placement apparatus and method of manufacturing the same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11575335B2 (en) 2020-03-26 2023-02-07 Samsung Electronics Co., Ltd. Electrostatic chuck device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7516147B2 (ja) 2020-07-27 2024-07-16 日本特殊陶業株式会社 保持装置
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2023550333A (ja) * 2020-11-19 2023-12-01 ラム リサーチ コーポレーション 基板全体に均一な温度を有する基板支持体
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024070267A1 (ja) * 2022-09-29 2024-04-04 東京エレクトロン株式会社 基板処理装置および基板処理方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5667622A (en) * 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP2953395B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 スパッタリング装置
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP4641569B2 (ja) * 1998-07-24 2011-03-02 日本碍子株式会社 窒化アルミニウム質焼結体、耐蝕性部材、金属埋設および半導体保持装置
JP3892609B2 (ja) * 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
JP2000269189A (ja) 1999-03-15 2000-09-29 Sony Corp プラズマエッチング法
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP3273773B2 (ja) * 1999-08-12 2002-04-15 イビデン株式会社 半導体製造・検査装置用セラミックヒータ、半導体製造・検査装置用静電チャックおよびウエハプローバ用チャックトップ
JP2002170870A (ja) * 2000-12-01 2002-06-14 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板および静電チャック
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US6414276B1 (en) * 2000-03-07 2002-07-02 Silicon Valley Group, Inc. Method for substrate thermal management
EP1391140B1 (en) * 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US20030089457A1 (en) * 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
JP2004282047A (ja) * 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP2006140367A (ja) * 2004-11-15 2006-06-01 Sumitomo Electric Ind Ltd 半導体製造装置用加熱体およびこれを搭載した加熱装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate

Also Published As

Publication number Publication date
US20080083723A1 (en) 2008-04-10
US8207476B2 (en) 2012-06-26
CN101682937B (zh) 2013-04-24
JP2010506381A (ja) 2010-02-25
WO2008039611A3 (en) 2008-09-04
CN101682937A (zh) 2010-03-24
KR20140114900A (ko) 2014-09-29
KR20090071614A (ko) 2009-07-01
US20100078424A1 (en) 2010-04-01
JP2013149977A (ja) 2013-08-01
WO2008039611A2 (en) 2008-04-03
US7723648B2 (en) 2010-05-25

Similar Documents

Publication Publication Date Title
JP5249937B2 (ja) 基板処理システム用の不均一な断熱層を有する温度制御された基板ホルダ
JP5111030B2 (ja) 基板処理システムに用いられる耐浸食性絶縁層を有する温度制御された基板ホルダ
US7952049B2 (en) Method for multi-step temperature control of a substrate
US7557328B2 (en) High rate method for stable temperature control of a substrate
KR101668498B1 (ko) 고온의 정전식 척
US20210132575A1 (en) Temperature control method
US8823404B2 (en) Evaluation device and evaluation method for substrate mounting apparatus and evaluation substrate used for the same
TWI406323B (zh) 多區電阻加熱器
US6353209B1 (en) Temperature processing module
JP6967067B2 (ja) 個別に制御可能なヒータ素子のアレイを有する基板キャリア
JP2017228230A (ja) 基板処理システムおよび温度制御方法
US20050178335A1 (en) Method and apparatus for active temperature control of susceptors
JP6967068B2 (ja) ヒータ素子アレイを使用する、基板キャリアの温度測定
JP2005327846A (ja) 基板加熱装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120921

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130116

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130412

R150 Certificate of patent or registration of utility model

Ref document number: 5249937

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250