KR20090071614A - 기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더 - Google Patents

기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더 Download PDF

Info

Publication number
KR20090071614A
KR20090071614A KR1020097008221A KR20097008221A KR20090071614A KR 20090071614 A KR20090071614 A KR 20090071614A KR 1020097008221 A KR1020097008221 A KR 1020097008221A KR 20097008221 A KR20097008221 A KR 20097008221A KR 20090071614 A KR20090071614 A KR 20090071614A
Authority
KR
South Korea
Prior art keywords
substrate
temperature
thermal insulator
support
substrate support
Prior art date
Application number
KR1020097008221A
Other languages
English (en)
Inventor
에릭 제이 스트랭
유지 츠카모토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090071614A publication Critical patent/KR20090071614A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0037Supports specially adapted for semi-conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Temperature (AREA)

Abstract

프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더는, 제1 온도를 갖는 온도 제어식 지지 베이스, 및 상기 온도 제어식 지지 베이스에 마주 보며, 상기 기판을 지지하도록 구성된 기판 지지부를 포함한다. 또한, 상기 기판 지지부에 연결되며, 상기 기판 지지부를 상기 제1 온도보다 높은 제2 온도로 가열하도록 구성된 하나 이상의 가열 소자들, 및 상기 온도 제어식 지지 베이스와 상기 기판 지지부 사이에 배치된 열 절연체가 포함된다. 이 열 절연체는 상기 온도 제어식 지지 베이스와 상기 기판 지지부 사이의 상기 열 절연체를 통한 열 전달 계수(W/m2-K)의 불균일한 공간적 변동을 포함한다.

Description

기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더{TEMPERATURE CONTROLLED SUBSTRATE HOLDER WITH NON-UNIFORM INSULATION LAYER FOR A SUBSTRATE PROCESSING SYSTEM}
관련 출원들의 교차 참조
본 출원은, 2005년 9월 27일에 출원되고, 대리인 번호가 277768US이며, 발명의 명칭이 "Method and System for Temperature Control of a Substrate"인 공동 계류 중의 미국 특허 출원 번호 제10/551,236호; 상기와 동일 날짜에 출원되고, 대리인 번호가 295002US이며, 발명의 명칭이 "High Temperature Substrate Holder for a Substrate Processing System"(ES-108)인 공동 계류 중의 미국 특허 출원 번호 제11/XXX,XXX호; 상기와 동일 날짜에 출원되고, 대리인 번호가 295000US이며, 발명의 명칭이 "Method for Multi-step Temperature Control of a Substrate"(ES-112)인 공동 계류 중의 미국 특허 출원 번호 제11/XXX,XXX호; 및 상기와 동일 날짜에 출원되고, 대리인 번호가 295005US이며, 발명의 명칭이 "High Rate Method for Stable Temperature Control of a Substrate"(ES-113)인 공동 계류 중의 미국 특허 출원 번호 제11/XXX,XXX호에 관련된다. 이들 출원들의 전체 내용들은 여기서 그 전체가 참조용으로 사용된다.
본 발명은 기판의 온도 제어용 시스템에 관한 것으로, 보다 자세하게는 기판 의 온도 제어용 기판 홀더에 관한 것이다.
반도체 제조 및 프로세싱에서, 예컨대 에칭 및 증착 프로세스들을 포함하는 다양한 프로세스들은 기판의 온도에 상당히 의존한다는 것이 알려져 있다. 이러한 이유로, 기판의 온도를 제어하고, 또한 기판의 온도를 제어 가능하게 조정하는 능력이 반도체 프로세싱 시스템의 본질적인 요건이 되고 있다. 기판의 온도는, 주위 환경과의 방사성 및/또는 도전성 열 교환은 물론, 플라즈마와의 기판 상호 작용, 화학적 프로세스들 등을 포함하는(그러나, 이들에 한정되지 않음) 많은 프로세스들에 의하여 결정된다. 기판의 온도를 제어하기 위하여, 기판 홀더의 상부면에 적절한 온도를 제공하는 것이 이용될 수 있다.
본 발명은 기판의 온도를 제어하기 위한 시스템에 관한 것이다.
본 발명의 일 태양에 따르면, 프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더는, 제1 온도를 갖는 온도 제어식 지지 베이스, 및 상기 온도 제어식 지지 베이스에 마주 보며, 상기 기판을 지지하도록 구성된 기판 지지부를 포함한다. 또한, 상기 기판 지지부에 연결되고, 상기 기판 지지부를 상기 제1 온도보다 높은 제2 온도로 가열하도록 구성된 하나 이상의 가열 소자들, 및 상기 온도 제어식 지지 베이스와 상기 기판 지지부 사이에 배치된 열 절연체가 포함되어 있다. 이 열 절연체는 상기 온도 제어식 지지 베이스와 상기 기판 지지부 사이의 상기 열 절연체를 통한 열 전달 계수(W/m2-K)의 불균일한 공간적 변동을 포함한다.
본 발명의 다른 태양에 따르면, 프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더는, 제1 온도를 갖는 온도 제어식 지지 베이스, 및 상기 온도 제어식 지지 베이스에 마주 보며, 상기 기판을 지지하도록 구성된 기판 지지부를 포함한다. 하나 이상의 가열 소자들이, 상기 기판 지지부에 연결되며, 상기 기판 지지부를 상기 제1 온도보다 높은 제2 온도로 가열하도록 구성된다. 또한, 상기 온도 제어식 지지 베이스와 상기 기판 지지부 간의 열 전달 계수(W/m2-K)의 불균일한 공간적 변동을 제공하기 위한 수단이 포함한다.
도 1은 본 발명의 실시예에 따른 기판 프로세싱 시스템의 블록도를 나타낸다.
도 2a는 본 발명의 실시예에 따른 기판 홀더의 개략적인 단면도를 나타낸다.
도 2b는 기판 홀더에 대한 열 도전성과 기판 온도의 예시적인 프로파일들을 도시한다.
도 3은 본 발명의 또다른 실시예에 따른 기판 홀더의 개략적인 단면도를 도시한다.
도 4는 본 발명의 또다른 실시예에 따른 기판 홀더의 개략적인 단면도를 도시한다.
도 5는 본 발명의 또다른 실시예에 따른 기판 홀더의 개략적인 단면도를 도 시한다.
도 6은 본 발명의 또다른 실시예에 따른 기판 홀더의 개략적인 단면도를 도시한다.
도 7a 및 도 7b는 예시적인 온도의 시간 궤적들을 도시한다.
도 8은 본 발명의 실시예에 따라 기판 온도를 조정하는 방법의 흐름도를 도시한다.
예시적인 실시예들의 상세한 설명
다음 설명에서, 설명을 위한 것이나, 제한적이지 않게, 기판 프로세싱 시스템용 기판 홀더의 특정한 기하학적 구조와 다양한 구성요소들 및 프로세스들의 설명과 같은 특정한 상세가 나타나 있다. 그러나, 본 발명은 이들 특정 상세들로부터 벗어난 다른 실시예들에서 실행될 수도 있다는 것이 이해되어야 한다.
본 발명의 실시예에 따르면, 도 1에, 기판 홀더(20)와 이 기판 홀더 상에 지지된 기판(25)을 갖는 프로세스 툴(10)을 포함하는 재료 프로세싱 시스템(1)이 도시되어 있다. 기판 홀더(20)는 기판 온도의 조정을 위하여 온도 제어 소자들을 제공하도록 구성된다. 또한, 온도 제어 소자들은 균일하거나 불균일한 기판 온도를 보증하기 위하여 공간적으로 배치될 수도 있다. 제어기(55)가, 프로세스 툴(10)과 기판 홀더(20)에 연결되어, 이하에 더 설명되는 바와 같이 기판 온도를 모니터링, 조정 및 제어하도록 구성되어 있다.
도 1에 도시된 설명된 실시예에서, 재료 프로세싱 시스템(1)은 에칭 챔버를 포함할 수 있다. 예컨대, 에칭 챔버는 건식 플라즈마 에칭, 또는 대안적으로 건식 비플라즈마 에칭을 촉진시킬 수 있다. 대안적으로, 재료 프로세싱 시스템(1)은, 부착후 베이크(post-adhesion bake, PAB) 또는 노광후 베이크(post-exposure bake, PEB) 등용으로 이용될 수도 있는 포토레지스트 스핀 코팅 시스템에서의 가열/냉각 모듈과 같은 포토레지스트 코팅 챔버; 포토리소그래피 시스템과 같은 포토레지스트 패터닝 챔버; 스핀-온-글래스(spin-on-glass, SOG) 또는 스핀-온-다이일렉트릭(spin-on-dielectric, SOD) 시스템과 같은 유전체 코팅 챔버; 기상 증착 시스템, 화학적 기상 증착(CVD) 시스템, 플라즈마 강화된 CVD(PECVD) 시스템, 원자층 증착(ALD) 시스템, 플라즈마 강화된 ALD(PEALD) 시스템, 또는 물리적 기상 증착(PVD) 시스템과 같은 증착 챔버; 또는 열 어닐링용 급속 열 처리(rapid thermal processing, RTP) 시스템과 같은 RTP 챔버를 포함한다.
이제, 도 2a를 참조하여, 일 실시예에 따라 기판 홀더를 설명한다. 기판 홀더(100)는, 제1 온도를 가지며, 기판(110)을 지지하도록 구성된 기판 지지부(130), 기판 지지부(130) 아래에 위치되며 제1 온도보다 낮은(예컨대 기판(110)의 요구되는 온도보다 낮은) 제2 온도에 있도록 구성된 온도 제어식 지지 베이스(120), 및 기판 지지부(130)와 온도 제어식 지지 베이스(120) 사이에 배치된 열 절연체(140)를 구비한다. 또한, 기판 지지부(130)는, 이 기판 지지부에 연결되며, 기판 지지부(130)의 온도를 상승시키도록(예컨대, 기판을 가열하도록) 구성된 하나 이상의 가열 소자들(미도시)을 구비한다. 본 발명의 실시예들에 따라, 제1 온도는 기판 지지부에 걸친 온도 구배(gradient)의 일부일 수도 있고, 제2 온도는 온도 제어식 지지 베이스에 걸친 온도 구배의 일부일 수도 있다는 것이 이해되어야 한다.
일 실시예에 따르면, 열 절연체(140)는, 기판 지지부(130)와 온도 제어식 지지 베이스(120) 모두의 각 열 도전성들보다 작은 열 도전성을 갖는다. 예컨대, 열 절연체(140)의 열 도전성은 1 W/m-K보다 작다. 바람직하게는, 열 절연체의 열 도전성은 약 0.05 W/m-K 내지 약 0.8 W/m-K의 범위이고, 보다 바람직하게는 열 절연체의 열 도전성은 약 0.2 W/m-K 내지 약 0.8 W/m-K의 범위이다.
열 절연체(140)는 폴리머, 플라스틱 또는 세라믹으로 제조된 접착제를 구비할 수 있다. 열 절연체(140)는 유기 또는 무기 재료를 포함할 수도 있다. 예컨대, 열 절연체(140)는, 실온 경화(room-temperature-vulcanizing, RTV) 접착제, 열가소성 물질과 같은 플라스틱, 열경화성 수지 또는 주조 수지(또는 부을 수 있는(pourable) 플라스틱 또는 엘라스토머 화합물)와 같은 수지, 엘라스토머 등을 구비할 수 있다. 기판 지지부(130)와 온도 제어식 지지 베이스(120) 사이에 열 저항을 제공하는 것 외에, 열 절연체(140)는 기판 지지부(130)와 온도 제어식 지지 베이스(120) 사이에 접착층(bond layer) 또는 부착층(adhesion layer)을 제공할 수도 있다.
열 절연체(140)의 두께 및 재료 조성은, 필요시, 이 지지 베이스(120)와 플라즈마 간의 적절한 고주파(radio frequency, RF) 결합이 유지될 수 있도록, 선택되어야 한다. 또한, 열 절연체(140)는, 열 구배들 및 재료 특성들에서의 차이들, 즉 열 팽창 계수에 의하여 생성되는 열-기계적 전단(thermal-mechanical shear)을 견디도록 선택되어야 한다. 예컨대, 열 절연체(140)의 두께는 약 10mm(밀리미터) 이하일 수 있고, 바람직하게는 이 두께는 약 5mm 이하, 즉 약 2 mm 이하일 수 있다.
또한, 열 절연체(140)의 재료 조성은, 이것이 이용되는 환경에 대하여 내부식성을 나타내도록 하는 것이 바람직하다. 예컨대, 열 절연체(140)는, 건식 플라즈마 에칭 환경에 놓이면, 에칭 시스템 세정 프로세스 동안 사용되는 부식성 세정 화학품들은 물론, 에칭 프로세스 동안 사용되는 부식성 에칭제들에 저항성이 있어야 한다. 많은 에칭 화학품들 및 세정 화학품들에서, Cl2, F2, Br2, HBr, HCl, HF, SF6, NF3, ClF3 등을 포함하는(그러나, 이들에 한정되지 않음) 할로겐 함유 프로세스 가스들이 이용된다. 이들 화학품들, 특히 세정 화학품들에서, 불소 원자 등과 같은, 고농도의 반응성 원자 할로겐 종들을 생성하는 것이 바람직하다.
일 실시예에 따르면, 열 절연체(140)는 내부식성 열 절연체를 구비한다. 일 실시예에서, 전체 열 절연체는 내부식성 재료로 제조된다. 대안적으로, 할로겐 함유 가스에 노출된 부분들과 같은, 열 절연체(140)의 부분만이 내부식성 재료를 포함할 수 있다. 예컨대, 내부식성 재료는 열 절연체의 외주의 노출된 에지에서만 포함될 수도 있고, 열 절연체의 나머지 영역은 요구되는 열 전달 계수를 제공하기 위하여 선택된 상이한 재료 조성을 포함한다.
내부식성 열 절연체는, 아크릴계 재료 또는 아크릴레이트계 재료와 같은 아크릴형 재료를 포함할 수 있다. 아크릴계 재료들 및 아크릴레이트계 재료들은 적합한 촉매와의 반응을 통하여 아크릴산 또는 메틸아크릴산을 중합함으로써 형성될 수 있다. 표 1은 재료 조성에의 내부식성의 의존성을 나타내는 데이터를 제공한다. 예컨대, 실리콘 함유 접착제, 및 아크릴/아크릴레이트 함유 접착제(다양한 벤더들 X, Y, Z, Q, R 및 T로 준비되는)의 시리즈에 대한 데이터가 제공되어 있다. 데이터는 플라즈마(또는 RF 전력 온) 시간의 함수(hr)에 따른 부식량(mm3); 즉 mm3/hr을 포함한다. 표 1에 도시된 바와 같이, 아크릴/아크릴레이트 함유 접착제들은, 세정 플라즈마(SF6 기저 플라즈마와 같은)의 영향에 있을 때, 10배(order of magnitude)보다 크게 부식성을 덜 나타낸다.
(표 1)
Figure 112009024343885-PCT00001
또다른 실시예에 따르면, 열 절연체(140)는, 온도 제어식 지지 베이스(120)와 기판 지지부(130) 사이의 열 절연체(140)를 통한 열 전달 계수(W/m2-K)의 불균일한 공간적 변동을 갖는다. 예컨대, 열 전달 계수는, 열 절연체(140)(기판(110) 아래의)의 실질적인 중심 영역과 열 절연체(140)(기판(110) 아래의)의 실질적인 에지 영역 사이에서 반경 방향으로 변할 수 있다. 열 전달 계수의 공간적 변동은 열 절연체(140)의 열 도전성(W/m-K)의 불균일한 공간적 변동을 가질 수도 있고, 또는 열 전달 계수의 공간적 변동은 열 절연체(140)의 두께의 불균일한 공간적 변동을 가질 수도 있고, 또는 양쪽 모두일 수도 있다. 여기서 사용되는 바와 같이, 파라미터의 "불균일한 공간적 변동(non-uniform spatial variation)"이라는 용어는, 기판 홀더에 걸친 파라미터의 고유한 작은 변동들이라기 보다, 설계에 의하여 유발되는 기판 홀더의 영역에 걸친 파라미터의 공간적 변동을 의미한다. 또한, "열 절연체의 실질적인 중심 영역(substantially central region of the thermal insulator)"이라는 용어는 기판이 기판 홀더 상에 위치되는 경우, 기판의 중심과 중첩될 것인 열 절연체의 영역을 의미하고, "열 절연체의 실질적인 에지 영역(substantially edge region of the thermal insulator)" 이라는 용어는 기판이 기판 홀더 상에 위치되는 경우, 기판의 에지와 중첩될 것인 열 절연체의 영역을 의미한다.
도 2b에 도시된 바와 같이, 열 도전성은 기판(110) 아래의 열 절연체(140)의 실질적인 중심 영역과, 기판(110) 아래의 열 절연체(140)의 실질적인 에지 영역 사이에서 반경 방향으로 변할 수 있다. 예컨대, 열 도전성은 약 0.2 W/m-K와 약 0.8 W/m-K 사이의 제1 값과, 약 0.2 W/m-K와 약 0.8 W/m-K 사이의 제2 값 사이에서 변할 수 있다. 또한, 예컨대, 열 도전성은 열 절연체(140)의 실질적으로 중심 영역 부근에서 약 0.2 W/m-K일 수 있고, 열 도전성은 열 절연체(140)의 실질적인 에지 영역 부근에서 약 0.8 W/m-K일 수 있다. 또한, 예컨대 열 절연체(140)의 대략 반경-중간 영역과 열 절연체(140)의 실질적인 외주 영역 사이에서 열 도전성의 변동이 실질적으로 발생한다. 도 2b에 도시된 바와 같이, 온도는 중심에서 에지까지 제1 온도(T1)와 제2 온도(T2) 사이에서 변할 수도 있다. 열 도전성(및 온도)에서의 이러한 변동들은, 예컨대 기판을 둘러싸는 포커스 링(focus ring)에 의한 기판의 외주 에지의 과잉 가열을 방지하기 위하여 제공될 수도 있다.
도 3에 도시된 바와 같이, 또다른 실시예에 따라 기판 홀더를 설명한다. 기판 홀더(200)는, 제1 온도를 가지며 기판(210)을 지지하도록 구성된 기판 지지부(230), 기판 지지부(230) 아래에 위치되며, 제1 온도보다 낮은(예컨대, 기판(210)의 요구되는 온도보다 낮은) 제2 온도에 있도록 구성된 온도 제어식 지지 베이스(220), 및 기판 지지부(230)와 온도 제어식 지지 베이스(220) 사이에 배치된 열 절연체(240)를 구비한다. 또한, 기판 지지부(230)는, 이 기판 지지부에 연결되며, 기판 지지부(230)의 온도를 상승시키도록(예컨대, 기판을 가열하도록) 구성된 하나 이상의 가열 소자들(미도시)을 구비한다. 열 절연체(240)는 불균일한 두께를 갖는다.
도시된 바와 같이, 두께는, 열 절연체(240)(기판(210) 아래의)의 실질적인 중심 영역에서 더 작고, 기판(210) 아래의 실질적인 에지 영역에서 상대적으로 더 두껍다. 대안적으로, 두께는, 기판(210) 아래의 실질적인 중심 영역에서 더 클 수 있고, 기판(210) 아래의 실질적인 에지 영역에서 상대적으로 더 얇을 수 있다. 열 절연체(240)의 불균일한 두께는, 지지 베이스(220) 상의 평평하지 않은 상부면에 의하여 제공될 수도 있고, 또는 기판 지지부(230)의 평평하지 않은 하부면에 의하여 제공될 수도 있고, 또는 이들의 조합으로 제공될 수도 있다. 또한 대안적으로, 열 절연체(240)의 열 도전성과는 상이한 열 도전성을 갖는 재료층이 지지 베이스(220)의 상부면 또는 기판 지지부(230)의 하부면의 일부 상에 배치될 수도 있다. 예컨대, Kapton
Figure 112009024343885-PCT00002
, Vespel
Figure 112009024343885-PCT00003
, Teflon
Figure 112009024343885-PCT00004
등의 층이 기판(210) 아래의 실질적인 중심 영역 상에 배치될 수도 있고, 또는 이러한 층은 기판(210) 아래의 실질적인 외주 영역 상에 배치될 수도 있다.
이제 도 4를 참조하여, 또다른 실시예에 따라 기판 홀더를 설명한다. 기판 홀더(300)는, 제1 온도를 가지며, 기판(310)을 지지하도록 구성된 기판 지지부(330), 기판 지지부(330) 아래에 위치되며 제1 온도보다 낮은(예컨대, 기판(310)의 요구되는 온도보다 낮은) 제2 온도에 있도록 구성된 온도 제어식 지지 베이스(320), 및 기판 지지부(330)와 온도 제어식 지지 베이스(320) 사이에 배치된 열 절연체(340)를 구비한다. 또한, 기판 지지부(330)는, 이 기판 지지부에 연결되며, 기판 지지부(330)의 온도를 상승시키도록 구성된 하나 이상의 가열 소자들(미도시)을 구비한다.
도 4에 도시된 바와 같이, 지지 베이스(320)는, 열 절연체(340)로 부분적으로 연장하는(또는 이 열 절연체(340)를 관통하여 완전히 연장하는) 복수의 돌출부들 또는 리지(ridge)들(342)을 구비한다. 또한, 돌출부들의 수 밀도는 기판 홀더의 실질적인 중심 영역(344)과 실질적인 외주 영역(346) 사이에서 변할 수 있다. 예컨대, 보다 높은 밀도의 돌출부들이 실질적인 외주 영역(346)에 위치될 수도 있고, 상대적으로 더 낮은 밀도의 돌출부들이 실질적인 중심 영역(344)에 위치될 수도 있다. 대안적으로, 예컨대 보다 낮은 밀도의 돌출부들이 실질적인 외주 영역(346)에 위치될 수도 있고, 상대적으로 더 높은 밀도의 돌출부들이 실질적인 중심 영역(344)에 위치될 수도 있다. 돌출부들의 밀도 변화 외에, 또는 밀도 변화 대신, 돌출부들의 크기 또는 형태 또는 양쪽 모두가 변할 수도 있다.
온도 제어식 지지 베이스(120(220, 320))는 금속성 재료 또는 비금속성 재료로 제조될 수도 있다. 예컨대, 온도 제어식 지지 베이스(120(220, 320))는 알루미늄으로 제조될 수 있다. 또한, 예컨대 온도 제어식 지지 베이스(120(220, 320))는, 지지 베이스의 온도가 비교적 일정한 온도에 유지될 수 있도록, 비교적 높은 열 도전성을 갖는 재료로 형성될 수 있다. 온도 제어식 지지 베이스의 온도는 바람직하게는, 냉각 소자들과 같은 하나 이상의 온도 제어 소자들에 의하여 능동적으로(actively) 제어된다. 그러나, 온도 제어식 지지 베이스는, 예컨대 주위 환경과의, 증가된 표면적으로 인한 강화된 자유 대류를 촉진시키기 위하여, 냉각 핀들을 사용하여 수동 냉각(passive cooling)을 제공할 수도 있다. 온도 제어식 지지 베이스(120(220, 320))는, 기판 지지부의 하나 이상의 가열 소자들로의 전력의 연결, 정전 클램핑 전극으로의 전력의 연결, 기판의 이면으로의 열 전달 가스의 공압 연결(pneumatic coupling) 등을 허용하기 위하여, 지지 베이스를 관통하는 통로들(미도시)을 더 포함할 수 있다.
기판 지지부(130(230, 330))는 금속성 재료 또는 비금속성 재료로 제조될 수도 있다. 기판 지지부(130(230, 330))는, 세라믹과 같은 비도전성 재료로 제조될 수 있다. 예컨대, 기판 지지부(130(230, 330))는 알루미나로 제조될 수 있다.
일 실시예에 따르면, 하나 이상의 가열 소자들은 기판 지지부(130(230, 330)) 내에 삽입(embed)된다. 하나 이상의 가열 소자들은, 모놀리식(monolithic) 피스(piece)를 형성하기 위하여 함께 소결된 2개의 세라믹 피스들 사이에 위치될 수 있다. 대안적으로, 제1 세라믹층이 열 절연체 상으로 용사되고(thermally sprayed), 그 후 제1 세라믹층 상으로 하나 이상의 가열 소자들을 용사하고, 그 후 하나 이상의 가열 소자들 위에 제2 세라믹층을 용사한다. 다른 전극들, 또는 금속층들이, 유사한 기술들을 사용하여, 기판 지지부(130(230, 330)) 내에 삽입될 수도 있다. 예컨대, 정전 클램핑 전극이, 세라믹층들 사이에 삽입될 수도 있고, 상술된 바와 같이 소결 또는 용사 기술들을 통하여 형성될 수도 있다. 하나 이상의 가열 소자들과 정전 클램핑 전극은 동일한 면에 또는 개별적인 면들에 있을 수도 있고, 개별적인 전극들로서 실행될 수도 있고, 또는 동일한 물리적 전극으로서 실행될 수도 있다.
이제 도 5를 참조하여, 또다른 실시예에 따라 기판 홀더를 설명한다. 기판 홀더(400)는, 제1 온도를 가지며 기판(410)을 지지하도록 구성된 기판 지지부(430), 기판 지지부(430) 아래에 위치되며, 제1 온도보다 낮은(예컨대, 기판(410)의 요구되는 온도보다 낮은) 제2 온도에 있도록 구성된 온도 제어식 지지 베이스(420), 및 기판 지지부(430)와 온도 제어식 지지 베이스(420) 사이에 배치된 열 절연체(440)를 구비한다. 또한, 기판 지지부(430)는, 이 기판 지지부에 연결되며, 기판 지지부(430)의 온도를 상승시키도록 구성된 하나 이상의 가열 소자들(431)을 구비한다. 또한, 온도 제어식 지지 베이스(420)는 여기에 연결되며, 열 절연체(440)를 통한 기판 지지부(430)로부터의 열 제거에 의하여 기판 지지부(430)의 온도를 감소하도록 구성된 하나 이상의 냉각 소자들(421)을 구비한다.
하나 이상의 가열 소자들(431)은, 가열 유체 채널, 저항성 가열 소자, 또는 열을 웨이퍼로 전달하도록 바이어스된 열전(thermo-electric) 소자 중 적어도 하나를 구비할 수 있다. 또한, 도 5에 도시된 바와 같이, 하나 이상의 가열 소자들(431)은 가열 소자 제어 유닛(432)에 연결되어 있다. 가열 소자 제어 유닛(432)은, 각 가열 소자의 의존적인 또는 독립적인 제어를 제공하고, 제어기(450)와의 정보를 교환하도록 구성된다.
예컨대, 하나 이상의 가열 소자들(431)은, 도전성-대류성(conductive-convective) 가열을 제공하기 위하여, 물, 플루오리너트(Fluorinert), 갈든(Galden) HT-135 등과 같은 일정 유량의 유체가 통과하도록 허용할 수 있는 하나 이상의 가열 채널들을 구비할 수 있으며, 유체 온도는 열 교환기를 통하여 상승되었다. 유체 유속 및 유체 온도는, 예컨대 가열 소자 제어 유닛(432)에 의하여 설정되고, 모니터링되고, 조정되고, 제어될 수 있다.
대안적으로, 예컨대, 하나 이상의 가열 소자들(431)은, 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물 등과 같은 하나 이상의 저항성 가열 소자들인 필라멘트를 구비할 수 있다. 저항성 가열 소자들을 제조하기 위한, 시판되는 재료들의 예들은 Kanthal, Nikrothal, Akrothal을 포함하며, 이들은 미국 커넥티컷주 베텔 소재의 Kanthal Corporation에 의하여 생산된 금속 합금들에 대한 등록 상표명들이다. Kanthal 패밀리는 페라이트(ferritic) 합금(FeCrAl)들을 포함하고, Nikrothal 패밀리는 오스테나이트(austenitic) 합금(NiCr, NiCrFe)들을 포함한다. 예컨대, 가열 소자들은, 400℃ 내지 450℃의 최대 동작 온도가 가능한 Watlow(미국 60510 일리노이주 바타비아 킹즈랜드 드라이브 1310)로부터 시판되는 주물 히터(cast-in heater), 또는 Watlow로부터 또한 시판되며, 300℃ 만큼 높은 동작 온도와 23.25W/cm2까지의 전력 밀도가 가능한 막 히터를 구비할 수 있다. 또한, 예컨대 가열 소자는 1400W(또는 5 W/in2의 전력 밀도)가 가능한 실리콘 고무 히터(1.0mm 두께)를 구비할 수 있다. 전류가 필라멘트를 통하여 흐를 때, 전력은 열로서 방산되고, 따라서 가열 소자 제어 유닛(432)은, 예컨대 제어 가능한 DC 전력 공급장치를 구비할 수 있다. 보다 낮은 온도들과 전력 밀도들에 적합한 또다른 히터의 선택지는, 미국, 미네소타주, 미네아폴리스의 Minco, Inc.가 판매하는 Kapton(예컨대, 폴리이미드) 판에 삽입된 필라멘트로 구성된 Kapton 히터들이다.
대안적으로, 예컨대 하나 이상의 가열 소자들(431)은 각 소자들을 통하는 전류 흐름의 방향에 따라 기판을 가열하거나 냉각할 수 있는 열전 소자들의 어레이를 구비할 수 있다. 따라서, 가열 소자들(431)이 "가열 소자(heating element)들"로서 칭해지지만, 이들 소자들은 온도들 간의 신속한 변화를 제공하기 위하여 냉각 능력을 포함할 수도 있다. 또한, 가열 및 냉각 기능들은 기판 지지부(430) 내의 개별적인 소자들에 의하여 제공될 수도 있다. 예시적인 열전 소자는 Model ST-127-1.4-8.5M(72W의 최대 열 전달 전력이 가능한 40mm x 40mm x 3.4mm 열전 장치)으로 Advanced Thermoelectric에서 시판되는 소자이다. 따라서, 가열 소자 제어 유닛(432)은, 예컨대 제어 가능한 전류원을 구비할 수 있다.
하나 이상의 냉각 소자들(421)은 냉각 채널 또는 열전 소자 중 적어도 하나를 구비할 수 있다. 또한, 도 5에 도시된 바와 같이, 하나 이상의 냉각 소자 들(421)은 냉각 소자 제어 유닛(422)에 연결된다. 냉각 소자 제어 유닛(422)은, 각 냉각 소자(421)의 의존적인 또는 독립적인 제어를 제공하고, 제어기(450)와 정보를 교환하도록 구성된다.
예컨대, 하나 이상의 냉각 소자들(421)은, 도전성-대류성(conductive-convective) 냉각을 제공하기 위하여, 물, 플루오리너트, 갈든 HT-135 등과 같은 일정 유량의 유체가 통과하도록 허용할 수 있는 하나 이상의 냉각 채널들을 구비할 수 있으며, 유체 온도는 열 교환기를 통하여 하강되었다. 유체 유속 및 유체 온도는, 예컨대 냉각 소자 제어 유닛(422)에 의하여 설정되고, 모니터링되고, 조정되고, 제어될 수 있다. 대안적으로, 예컨대 가열 동안, 하나 이상의 냉각 소자들(421)을 통하는 유체 흐름의 유체 온도는 하나 이상의 가열 소자들(431)에 의한 가열을 완료하기 위하여 상승될 수도 있다. 또한 대안적으로, 예컨대 냉각 동안, 하나 이상의 냉각 소자들(421)을 통하는 유체 흐름의 유체 온도가 감소될 수도 있다.
대안적으로, 예컨대 하나 이상의 냉각 소자들(421)은 각 소자들을 통한 전류 흐름의 방향에 따라 기판을 가열하거나 냉각할 수 있는 열전 소자들의 어레이를 구비할 수 있다. 따라서, 냉각 소자들(421)이 "냉각 소자(cooling element)들"로서 칭해지지만, 이들 소자들은 온도들 간의 신속한 변화를 제공하기 위하여 가열 능력을 포함할 수도 있다. 또한, 가열 및 냉각 기능은 온도 제어식 지지 베이스(420) 내의 개별적인 소자들에 의하여 제공될 수도 있다. 예시적인 열전 소자는 Model ST-127-1.4-8.5M(72W의 최대 열 전달 전력이 가능한 40mm x 40mm x 3.4mm 열전 장 치)으로 Advanced Thermoelectric에서 시판되는 소자이다. 따라서, 냉각 소자 제어 유닛(422)은, 예컨대 제어 가능한 전류원을 구비할 수 있다.
또한, 도 5에 도시된 바와 같이, 기판 홀더(400)는 기판 지지부(430) 내에 삽입된 하나 이상의 클램핑 전극들(435)을 구비하는 정전 클램프(ESC)를 더 구비할 수 있다. ESC는 전기적 접속을 통하여 클램핑 전극들(435)에 연결된 고전압(HV) DC 전압 공급장치(434)를 더 구비한다. 이러한 클램프의 설계와 실행은 정전 클램핑 시스템들의 당업자들에게 잘 공지되어 있다. 또한, HV DC 전압 공급장치(434)는 제어기(450)에 연결되어, 제어기(450)와 정보를 교환하도록 구성되어 있다.
또한, 도 5에 도시된 바와 같이, 기판 홀더(400)는, 헬륨, 아르곤, 크세논, 크립톤을 포함하는 불활성 가스, 프로세스 가스, 또는 산소, 질소 또는 수소를 포함하는 다른 가스와 같은 열 전달 가스를, 적어도 하나의 가스 공급 라인과, 복수의 오리피스들 및 채널들(미도시) 중 적어도 하나를 통하여 기판(410)의 이면에 공급하기 위한 이면 가스 공급 시스템(436)을 더 구비할 수 있다. 이면 가스 공급 시스템(436)은, 예컨대 2존(중심/에지) 시스템, 또는 3존(중심/반경-중간/에지) 시스템과 같은 멀티존 공급 시스템일 수 있으며, 여기서 이면 압력은 중심으로부터 에지로 반경 방향으로 변할 수 있다. 또한, 이면 가스 공급 시스템(436)은 제어기(450)에 연결되어, 제어기(450)와 정보를 교환하도록 구성되어 있다.
또한, 도 5에 도시된 바와 같이, 기판 홀더(400)는 온도 모니터링 시스템(460)에 연결된 하나 이상의 온도 센서들(462)을 더 구비할 수 있다. 하나 이상의 온도 센서들(462)은 기판(410)의 온도를 측정하도록 구성될 수 있고, 또는 하나 이상의 온도 센서들(462)은 기판 지지부(430)의 온도를 측정하도록 구성될 수 있고, 또는 양쪽 모두일 수 있다. 예컨대, 하나 이상의 온도 센서들(462)은, 도 5에 도시된 바와 같이, 기판 지지부(430)의 하부면에서 온도가 측정되도록 위치될 수도 있고, 또는 기판(410)의 바닥부의 온도가 측정되도록 위치될 수도 있다.
온도 센서는 2002년 7월 2일 출원되어 계류중인 미국 특허 출원 제10/168544호(이 내용은 여기서 그 전체가 참조용으로 사용됨)에 설명된 바와 같은, 광섬유 서모미터, 광고온계, 밴드-에지 온도 측정 시스템, 또는 K형 열전대와 같은 열전대(점선으로 나타낸 바와 같은)를 포함할 수 있다. 광 서모미터들의 예들은: Model No.OR2000F으로 Advanced Energies, Inc.에서 시판되는 광섬유 서모미터; Model No. M600으로 Luxtron Corporation에서 시판되는 광섬유 서모미터; 또는 Model No. FT-1420으로 Takaoka Electric Mfg.에서 시판되는 광섬유 서모미터를 포함한다.
온도 모니터링 시스템(460)은, 프로세싱 전에 또는 프로세싱 동안 또는 프로세싱 후에, 가열 소자, 냉각 소자, 이면 가스 공급 시스템, 또는 ESC용 HV DC 전압 공급장치 중 적어도 하나를 조정하기 위하여, 제어기(450)에 센서 정보를 제공할 수 있다.
제어기(450)는, 기판 홀더(400)로부터의 출력들을 모니터링하는 것은 물론, 기판 홀더(400)로의 입력들을 전달하고 활성화시키는 데 충분한 제어 전압들을 생성할 수 있는 마이크로프로세서, 메모리, 및 디지털 I/O 포트(가능하게는 D/A 및/또는 A/D 변환기들을 포함하는)를 포함한다. 도 5에 도시된 바와 같이, 제어 기(450)는, 가열 소자 제어 유닛(432), 냉각 소자 제어 유닛(422), HV DC 전압 공급장치(434), 이면 가스 공급 시스템(436), 및 온도 모니터링 시스템(460)과 연결되어, 이들과 정보를 교환할 수 있다. 메모리에 기억된 프로그램은, 기억된 프로세스 레시피에 따라 기판 홀더(400)의 상술된 구성 요소들과 상호 작용하도록 이용된다. 제어기(450)의 일 예는 미국 텍사스주 오스틴 소재의 Dell Corporation으로부터 사용 가능한 DELL PRECISION WORKSTATION 640TM이다.
제어기(450)는 또한, 범용 컴퓨터, 프로세서, 디지털 신호 프로세서 등으로서 실행될 수도 있고, 이 제어기는 기판 홀더가, 컴퓨터 판독 가능한 매체에 포함된 하나 이상의 명령들의 하나 이상의 시퀀스들을 실행하는 제어기(450)에 응답하여 본 발명의 프로세싱 단계들 중 일부 또는 전부를 수행하게 한다. 컴퓨터 판독 가능한 매체 또는 메모리는, 본 발명의 내용에 따라 프로그램된 명령들을 유지하도록 구성되고, 여기서 설명된 데이터 구조들, 테이블들, 레코드들, 또는 다른 데이터를 포함할 수 있다. 컴퓨터 판독 가능한 매체들의 예들은, 컴팩트 디스크들, 하드 디스크들, 플로피 디스크들, 테이프, 광자기 디스크들, PROM들(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 컴팩트 디스크들(예컨대, CD-ROM), 또는 임의의 다른 광학 매체, 펀치 카드들, 종이 테이프, 또는 구멍들의 패턴들을 갖는 다른 물리적인 매체, 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체이다.
제어기(450)는, 기판 홀더(400)에 관하여 국부적으로 위치될 수도 있고, 또 는 인터넷 또는 인트라넷을 통하여 기판 홀더(400)에 관하여 떨어져 위치될 수도 있다. 따라서, 제어기(450)는 직접 접속, 인트라넷, 또는 인터넷 중 적어도 하나를 사용하여 기판 홀더(400)와 데이터를 교환할 수 있다. 제어기(450)는, 고객측(즉, 장치 메이커 등)에서 인트라넷에 연결될 수도 있고, 또는 판매자측(즉, 기기 제조업체)에서 인트라넷에 연결될 수도 있다. 또한, 또다른 컴퓨터(즉, 제어기, 서버 등)는 집적 접속, 인트라넷, 또는 인터넷 중 적어도 하나를 통하여 데이터를 교환하기 위하여 제어기(450)에 액세스할 수 있다.
선택적으로, 기판 홀더(400)는 전극을 포함할 수 있으며, 이 전극을 통하여 기판(410) 위의 프로세싱 영역에서 RF 전력이 플라즈마에 연결된다. 예컨대, 온도 제어식 지지 베이스(420)는 임피던스 정합 네트워크를 통하여 RF 발생기로부터 기판 홀더(400)로의 RF 전력의 전달을 통하여 RF 전압에 전기적으로 바이어스될 수 있다. RF 바이어스는, 플라즈마를 형성하고 유지하기 위하여 전자들을 가열하도록 기능할 수 있고, 또는 기판(410)에 입사되는 이온 에너지를 제어하기 위하여 기판(410)을 바이어스하도록 기능할 수 있고, 또는 양쪽 모두일 수 있다. 이 구성에서, 시스템은, 챔버 및 상부 가스 주입 전극이 접지면들로서 기능하는 것인 반응성 이온 에칭(RIE) 반응기로서 동작할 수 있다. RF 바이어스에 대한 통상적인 주파수는 1MHz 내지 100 MHz의 범위일 수 있고, 바람직하게는 13.56 MHz 이다.
대안적으로, RF 전력은 다중 주파수들에서 기판 홀더 전극에 인가될 수 있다. 또한, 임피던스 정합 네트워크는 반사된 전력을 최소화함으로써 프로세싱 챔버에서의 플라즈마로의 RF 전력의 전달을 최대화하도록 기능할 수 있다. 다양한 정합 네트워크 토폴로지들(예컨대, L형, π형, T형 등) 및 자동 제어 방법들이 이용될 수 있다.
이제 도 6을 참조하여, 또다른 실시예에 따라 기판 홀더를 설명한다. 기판 홀더(500)는, 제1 온도를 가지며, 기판(510)을 지지하도록 구성된 기판 지지부(530), 기판 지지부(530) 아래에 위치되며, 제1 온도보다 낮은(예컨대, 기판(510)의 요구되는 온도보다 낮은) 제2 온도에 있도록 구성된 온도 제어식 지지 베이스(520), 및 기판 지지부(530)와 온도 제어식 지지 베이스(520) 사이에 위치된 열 절연체(540)를 구비한다. 또한, 기판 지지부(530)는, 기판 지지부(530)에 연결되어, 기판 지지부(530)의 온도를 상승시키도록 구성된 중심 가열 소자(533)(기판(510) 아래의 실질적인 중심 영역에 위치된)와, 에지 가열 소자(531)(기판(510) 아래의 실질적인 에지, 또는 외주 영역에 위치된)를 구비한다. 또한, 지지 베이스(520)는 이 지지 베이스에 연결되고, 열 절연체(540)를 통한 기판 지지부(530)로부터의 열의 제거를 통하여 기판 지지부(530)의 온도를 감소시키도록 구성된 하나 이상의 냉각 소자들(521)을 구비한다.
도 6에 도시된 바와 같이, 중심 가열 소자(533)와 에지 가열 소자(531)는 가열 소자 제어 유닛(532)에 연결되어 있다. 가열 소자 제어 유닛(532)은, 각 가열 소자의 의존적인 또는 독립적인 제어를 제공하고, 제어기(550)와 정보를 교환하도록 구성되어 있다.
또한, 도 6에 도시된 바와 같이, 기판 홀더(500)는 기판 지지부(530) 내에 삽입된 하나 이상의 클램핑 전극들(535)을 구비하는 정전 클램프(ESC)를 더 구비할 수 있다. ESC는 전기 접속을 통하여 클램핑 전극들(535)에 연결된 고전압(HV) DC 전압 공급장치(534)를 더 구비한다. 이러한 클램프의 설계 및 실행은 정전 클램핑 시스템들의 당업자들에게 잘 공지되어 있다. 또한, HV DC 전압 공급장치(534)는 제어기(550)에 연결되어, 제어기(550)와 정보를 교환하도록 구성되어 있다.
또한, 도 6에 도시된 바와 같이, 기판 홀더(500)는, 헬륨, 아르곤, 크세논, 크립톤을 포함하는 불활성 가스, 프로세스 가스, 또는 산소, 질소 또는 수소를 포함하는 다른 가스와 같은 열 전달 가스를, 2개의 가스 공급 라인들과, 복수의 오리피스들 및 채널들(미도시) 중 적어도 2개를 통하여 기판(510)의 이면의 중심 영역과 에지 영역에 공급하기 위한 이면 가스 공급 시스템(536)을 더 구비할 수 있다. 이면 가스 공급 시스템(536)은, 도시된 바와 같이, 이면 압력이 중심에서 에지로 반경 방향으로 변할 수 있는 2존(중심/에지) 시스템을 구비한다. 또한, 이면 가스 공급 시스템(536)은 제어기(550)에 연결되어, 제어기(550)와 정보를 교환하도록 구성되어 있다.
또한, 도 6에 도시된 바와 같이, 기판 홀더(500)는, 기판(510) 아래의 실질적인 중심 영역의 온도를 측정하기 위한 중심 온도 센서(562)와, 기판(510) 아래의 실질적인 에지 영역의 온도를 측정하기 위한 에지 온도 센서(564)를 더 구비한다. 중심 온도 센서와 에지 온도 센서(562, 564)는 온도 모니터링 시스템(560)에 연결되어 있다.
이제 도 8을 참조하여, 또다른 실시예에 따라 프로세싱 시스템에서 기판 홀더 상의 기판의 온도를 제어하는 방법(700)을 설명하는 흐름도가 나타나 있다. 예 컨대, 온도 제어 스킴이 도 1 내지 도 6에 설명된 것들 중 하나와 같은 기판 홀더를 갖는 프로세싱 시스템에서의 프로세스를 위한 다수의 프로세스 단계들에 관련될 수 있다. 본 방법(700)은 710에서 기판 홀더 상에 기판을 위치시키는 것으로 시작한다.
기판 홀더는 적어도 기판 및/또는 기판 홀더의 내부 영역과 외부 영역의 온도를 보고하는 복수의 온도 센서들을 구비한다. 또한, 기판 홀더는, 내부 영역과 외부 영역을 각각 가열하는 제1 가열 소자와 제2 가열 소자를 갖는 기판 지지부와, 내부 영역과 외부 영역을 냉각시키기 위한 냉각 소자를 갖는 지지 베이스를 구비한다. 제1 및 제2 가열 소자들과 냉각 소자는 선택 가능한 설정점(set-point) 온도에서 기판 홀더를 유지하기 위하여, 온도 제어 시스템에 의하여 제어된다. 또한, 기판 홀더는 기판 지지부와 지지 베이스 사이에 위치된 열 절연체를 구비한다.
720에서, 기판이 제1 온도 프로프일에 설정된다. 온도 제어 시스템을 사용하여, 제1 온도 프로파일(예컨대, 기판 온도)보다 낮은 지지 베이스에 대한 제1 베이스 온도와, 제1 내부 설정점 온도 및 제1 외부 설정점 온도가 선택된다. 그 후, 온도 제어 시스템은 냉각 소자와 제1 및 제2 가열 소자들을 조정하여, 상술된 선택된 온도들을 달성한다.
730에서, 기판은 제2 온도 프로파일에 설정된다. 온도 제어 시스템을 사용하여, 지지 베이스에 대한 제2 베이스 온도와 제2 내부 설정점 온도 및 제2 외부 설정점 온도가 선택된다. 그 후, 온도 제어 시스템은, 선택적으로(optionally), 제1 베이스 온도를 제2 베이스 온도로 변화시키도록 냉각 소자를 조정하고, 제2 내 부 및 외부 설정점 온도들이 달성될 때까지 내부 및 외부 가열 소자들을 조정함으로써, 제1 온도 프로파일(즉, 제1 내부 및 외부 설정점 온도들)로부터 제2 온도 프로파일(즉, 제2 내부 및 외부 설정점 온도들)로 기판 온도를 변화시킨다.
일 예에서, 기판 온도가 제1 온도 프로파일에서 제2 온도 프로파일로 상승(또는 하강)되는 반면, 제2 베이스 온도는 제1 베이스 온도와 동일하게 유지된다. 내부 및 외부 가열 소자들에 전달된 전력은, 제1 온도 프로파일에서 제2 온도 프로파일로 기판을 가열(또는 냉각)하기 위하여 증가(또는 감소)된다.
또다른 예에서, 기판 온도가 제1 온도 프로파일에서 제2 온도 프로파일로 상승(또는 하강)되는 반면, 제2 베이스 온도는 제1 베이스 온도와는 상이한 값으로 변한다. 내부 및 외부 가열 소자들에 전달된 전력은, 제1 온도 프로파일에서 제2 온도 프로파일로 기판을 가열(또는 냉각)하기 위하여 증가(또는 감소)되고, 냉각 소자에 전달된 전력은, 제1 베이스 온도를 제2 베이스 온도로 변화시키기 위하여 증가(또는 감소)된다. 따라서, 본 발명의 일 실시예에 따르면, 지지 베이스의 온도는, 기판의 온도를 제어할 때 기판 지지부를 원조하도록 변한다. 본 발명자들은, 지지 베이스 온도의 이러한 변화가 기판의 보다 정확하고 및/또는 신속한 온도 변화들을 제공할 수 있다는 것을 인정하였다.
온도 제어 시스템은 온도 모니터링 시스템에 의하여 제공된 측정된 값들에 응답하여 온도(들)를 안정적으로 조정하기 위하여 제어 알고리즘을 이용한다. 제어 알고리즘은, 예컨대 PID(비례, 적분 및 미분, proportional, integral and derivative) 제어기를 포함할 수 있다. PID 제어기에서, s-도메인(즉, 라플라시안 공간)에서의 전달 함수는 다음과 같이 나타낼 수 있다.
GC(s) = KP + KDs + KIs-1 (1)
여기서, KP, KD 및 KI는 상수들이며, 여기서 PID 파라미터들의 세트로서 칭해진다. 제어 알고리즘에 대한 설계의 어려운 점은, 온도 제어 시스템의 요구되는 성능을 달성하기 위한 PID 파라미터들의 세트를 선택하는 것이다.
도 7a를 참조하면, PID 파라미터들의 상이한 세트들이 어떻게 상이한 온도 응답에 이르는 지를 설명하기 위하여, 온도의 몇몇 예시적인 시간 궤적들이 도시되어 있다. 각 경우에서, 온도는 제1 값에서 제2 값으로 상승된다. 온도의 제1 시간 궤적(601)은, 예컨대 KI에 대한 비교적 낮은 값을 갖는 비교적 공격적인 제어 스킴을 보여주며, 여기서 시간 궤적은 "오버슈트(overshoot)"와 이 오버슈트를 따르는 일련의 진동들을 나타낸다. 온도의 제2 시간 궤적(602)은, 예컨대 KI에 대한 비교적 더 높은 값을 갖는 비교적 덜 공격적인 제어 스킴을 보여주며, 여기서 시간 궤적은 제2 온도로의 비교적 느리고 점진적인 상승을 나타낸다. 온도의 제3 시간 궤적(603)은, 예컨대 시간 궤적(601)의 KI에 대한 값과 시간 궤적(602)의 KI에 대한 값 사이의 KI에 대한 값을 갖는 요구되는 적절하게 공격적인 제어 스킴을 보여주며, 여기서 시간 궤적은 오버슈트없이 제2 온도로의 비교적 더 빠른 상승을 나타낸다. 그러나, 본 발명자들은, 단지 하나의 PID 파라미터 세트의 사용은 안정성과 상승률에 대하여 요구되는 조건을 제공하는 데 충분하지 않다는 것을 인정하였다.
일 실시예에 따르면, 초기값과 최종값 사이의 온도의 신속하고 안정한 조정을 달성하기 위하여, 2개 이상의 PID 파리미터 세트들이 이용된다. 도 7b는 PID 파라미터들의 2개 세트들을 이용하는 온도의 예시적인 시간 궤적(600)을 도시한다. PID 파라미터들의 제1 세트가 제1 기간(622) 동안 사용되고, PID 파라미터들의 제2 세트가 제2 기간(624) 동안 사용된다. 제1 기간(622)은 온도의 최종값으로부터 온도 오프셋(620)을 설정함으로써 결정될 수 있다. 예컨대, 온도 오프셋은 초기값과 최종값 사이의 온도차의 약 50% 내지 99%의 범위일 수 있다. 또한, 예컨대 온도 오프셋은 초기값과 최종값 사이의 온도차의 약 70% 내지 95%의 범위일 수 있고, 바람직하게는 온도 오프셋은 약 80% 내지 95%의 범위일 수 있다.
예컨대, 비교적 공격적인 PID 파라미터 세트가 제1 기간(622) 동안 사용될 수도 있고, 비교적 덜 공격적인 PID 파라미터 세트가 제2 기간(624) 동안 사용될 수도 있다. 대안적으로, 예컨대 PID 파라미터 KD는 PID의 제1 세트로부터 PID의 제2 세트로 증가될 수 있고, PID 파라미터 KI는 PID의 제1 세트로부터 PID의 제2 세트로 감소될 수 있고, 또는 그 조합도 가능하다.
본 발명의 특정 실시예들만이 상기에 상세히 설명되었으나, 당업자들은, 본 발명의 신규한 내용들 및 이점들로부터 실질적으로 벗어나지 않고 실시예들에서 많은 변형들이 가능하다는 것을 용이하게 이해할 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되도록 되어 있다.

Claims (22)

  1. 프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더로서,
    제1 온도를 갖는 온도 제어식 지지 베이스;
    상기 온도 제어식 지지 베이스에 마주 보며, 상기 기판을 지지하도록 구성된 기판 지지부;
    상기 기판 지지부에 연결되며, 상기 기판 지지부를 상기 제1 온도보다 높은 제2 온도로 가열하도록 구성된 하나 이상의 가열 소자들; 및
    상기 온도 제어식 지지 베이스와 상기 기판 지지부 사이에 배치된 열 절연체로서, 상기 열 절연체는, 상기 온도 제어식 지지 베이스와 상기 기판 지지부 사이의 상기 열 절연체를 통한 열 전달 계수(W/m2-K)의 불균일한 공간적 변동을 포함하는 것인 상기 열 절연체
    를 구비하는 프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더.
  2. 제 1 항에 있어서,
    상기 열 전달 계수는 상기 열 절연체의 실질적인 중심 영역과 상기 열 절연체의 실질적인 에지 영역 사이에서 반경 방향으로 변하는 것인 기판 홀더.
  3. 제 1 항에 있어서,
    상기 열 절연체는 상기 열 절연체의 열 도전성(W/m-K)의 불균일한 공간적 변동을 포함하는 것인 기판 홀더.
  4. 제 3 항에 있어서,
    상기 열 도전성은 상기 열 절연체의 실질적인 중심 영역과 상기 열 절연체의 실질적인 에지 영역 사이에서 반경 방향으로 변하는 것인 기판 홀더.
  5. 제 4 항에 있어서,
    상기 열 도전성은 약 0.2 W/m-K와 약 0.8 W/m-K 사이의 제1 값과, 약 0.2 W/m-K와 약 0.8 W/m-K 사이의 제2 값 사이에서 변하는 것인 기판 홀더.
  6. 제 4 항에 있어서,
    상기 열 도전성은 상기 열 절연체의 실질적인 중심 영역에서 약 0.2 W/m-K이며, 상기 열 도전성은 상기 열 절연체의 실질적인 에지 영역에서 약 0.8 W/m-K인 것인 기판 홀더.
  7. 제 4 항에 있어서,
    상기 열 도전성의 상기 변동은 상기 열 절연체의 대략 반경-중간 영역과 상기 열 절연체의 외주 영역 사이에서 실질적으로 발생하는 것인 기판 홀더.
  8. 제 4 항에 있어서,
    상기 열 절연체의 두께는 실질적으로 균일한 것인 기판 홀더.
  9. 제 1 항에 있어서,
    상기 열 절연체는 상기 열 절연체의 두께의 불균일한 공간적 변동을 포함하는 것인 기판 홀더.
  10. 제 9 항에 있어서,
    상기 열 절연체는 상기 열 절연체의 실질적인 에지 영역에서보다 상기 열 절연체의 실질적인 중심 영역에서 상대적으로 더 얇은 것인 기판 홀더.
  11. 제 9 항에 있어서,
    상기 열 절연체의 열 도전성은 실질적으로 균일한 것인 기판 홀더.
  12. 제 1 항에 있어서,
    상기 하나 이상의 가열 소자들은 상기 기판 지지부 내에 삽입(embed)된 것인 기판 홀더.
  13. 제 1 항에 있어서,
    상기 하나 이상의 가열 소자들은 하나 이상의 저항성 가열 소자들, 또는 하 나 이상의 열전(thermo-electric) 장치들, 또는 그 조합을 구비하는 것인 기판 홀더.
  14. 제 1 항에 있어서,
    상기 하나 이상의 가열 소자들은, 상기 기판 지지부의 실질적인 중심 영역에 위치된 제1 가열 소자와, 상기 기판 지지부의 실질적인 에지 영역에 위치된 제2 가열 소자를 구비하는 것인 기판 홀더.
  15. 제 1 항에 있어서,
    상기 기판 지지부는, 내부에 삽입되며, 상기 기판 지지부에 기판을 전기적으로 클램핑하도록 구성된 클램프 전극을 구비하는 것인 기판 홀더.
  16. 제 15 항에 있어서,
    상기 클램프 전극과 상기 하나 이상의 가열 소자는 상기 기판 지지부 내에 삽입되는 것인 기판 홀더.
  17. 제 16 항에 있어서,
    상기 클램프 전극과 상기 하나 이상의 가열 소자들은 실질적으로 동일한 면 내에 놓여 있는 것인 기판 홀더.
  18. 제 16 항에 있어서,
    상기 클램프 전극과 상기 하나 이상의 가열 소자들은 개별적인 면들 내에 놓여 있는 것인 기판 홀더.
  19. 제 16 항에 있어서,
    상기 클램프 전극과 상기 하나 이상의 가열 소자들은 동일한 물리적인 전극을 구비하는 것인 기판 홀더.
  20. 제 15 항에 있어서, 상기 기판 지지부는 하나 이상의 개구부들을 구비하며, 상기 개구부들을 통하여 열 전달 가스가 상기 기판 지지부의 상부면 상에 제공된 기판의 이면에 공급될 수도 있는 것인 기판 홀더.
  21. 제 1 항에 있어서,
    상기 열 절연체는 폴리머, 플라스틱, 또는 세라믹으로 제조된 접착제를 구비하는 것인 기판 홀더.
  22. 프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더는,
    제1 온도를 갖는 온도 제어식 지지 베이스;
    상기 온도 제어식 지지 베이스에 마주 보며, 상기 기판을 지지하도록 구성된 기판 지지부;
    상기 기판 지지부에 연결되며, 상기 기판 지지부를 상기 제1 온도보다 높은 제2 온도로 가열하도록 구성된 하나 이상의 가열 소자들; 및
    상기 온도 제어식 지지 베이스와 상기 기판 지지부 간의 열 전달 계수(W/m2-K)의 불균일한 공간적 변동을 제공하기 위한 수단
    을 구비하는 프로세싱 시스템에서 기판을 지지하기 위한 기판 홀더.
KR1020097008221A 2006-09-25 2007-08-17 기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더 KR20090071614A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/525,815 2006-09-25
US11/525,815 US7723648B2 (en) 2006-09-25 2006-09-25 Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020147024209A Division KR20140114900A (ko) 2006-09-25 2007-08-17 기판을 지지하기 위한 기판 홀더 및 반도체 장치의 제조 방법

Publications (1)

Publication Number Publication Date
KR20090071614A true KR20090071614A (ko) 2009-07-01

Family

ID=39230851

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097008221A KR20090071614A (ko) 2006-09-25 2007-08-17 기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더
KR1020147024209A KR20140114900A (ko) 2006-09-25 2007-08-17 기판을 지지하기 위한 기판 홀더 및 반도체 장치의 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020147024209A KR20140114900A (ko) 2006-09-25 2007-08-17 기판을 지지하기 위한 기판 홀더 및 반도체 장치의 제조 방법

Country Status (5)

Country Link
US (2) US7723648B2 (ko)
JP (2) JP5249937B2 (ko)
KR (2) KR20090071614A (ko)
CN (1) CN101682937B (ko)
WO (1) WO2008039611A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872748B2 (en) 2011-09-16 2020-12-22 Lam Research Corporation Systems and methods for correcting non-uniformities in plasma processing of substrates

Families Citing this family (407)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102652352B (zh) 2009-12-15 2015-12-02 朗姆研究公司 调节基板温度来改进关键尺寸(cd)的均匀性
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
US9709327B2 (en) * 2011-03-17 2017-07-18 Dry Ventures, Inc. Rapid rescue of inundated cellphones
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102842636B (zh) * 2011-06-20 2015-09-30 理想能源设备(上海)有限公司 用于化学气相沉积系统的基板加热基座
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
RU2461047C1 (ru) * 2011-07-05 2012-09-10 Государственное образовательное учреждение высшего профессионального образования Томский государственный университет систем управления и радиоэлектроники (ТУСУР) Устройство для стабилизации температуры элементов микросхем и микросборок
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5933222B2 (ja) 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US11713924B2 (en) 2012-02-01 2023-08-01 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10690413B2 (en) 2012-02-01 2020-06-23 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10876792B2 (en) 2012-02-01 2020-12-29 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US10240867B2 (en) 2012-02-01 2019-03-26 Revive Electronics, LLC Methods and apparatuses for drying electronic devices
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP5823915B2 (ja) * 2012-05-29 2015-11-25 新光電気工業株式会社 静電チャックの製造方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103578899B (zh) * 2012-08-06 2016-08-24 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
CN103578900B (zh) * 2012-08-06 2016-03-23 中微半导体设备(上海)有限公司 等离子体处理设备及其静电卡盘
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9538583B2 (en) 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP6110284B2 (ja) * 2013-11-21 2017-04-05 日本特殊陶業株式会社 静電チャック
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9716022B2 (en) * 2013-12-17 2017-07-25 Lam Research Corporation Method of determining thermal stability of a substrate support assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6442296B2 (ja) * 2014-06-24 2018-12-19 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104980534B (zh) * 2014-09-17 2018-01-19 广东欧珀移动通信有限公司 一种移动终端的外壳温度调整装置及方法
JP6342769B2 (ja) * 2014-09-30 2018-06-13 日本特殊陶業株式会社 静電チャック
JP6392612B2 (ja) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 静電チャック
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9461107B2 (en) * 2014-10-14 2016-10-04 Shenzhen China Star Optoelectronics Technology Co., Ltd. Excimer laser annealing apparatus and method of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9872341B2 (en) 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
KR101681493B1 (ko) * 2015-03-11 2016-12-05 (주)티티에스 서셉터 및 서셉터의 온도 가변 장치
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107258012B (zh) * 2015-03-20 2021-04-16 应用材料公司 以高温聚合物接合剂接合至金属基底的陶瓷静电夹盘
JP5841281B1 (ja) * 2015-06-15 2016-01-13 伸和コントロールズ株式会社 プラズマ処理装置用チラー装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10154542B2 (en) 2015-10-19 2018-12-11 Watlow Electric Manufacturing Company Composite device with cylindrical anisotropic thermal conductivity
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6512089B2 (ja) * 2015-12-15 2019-05-15 東京エレクトロン株式会社 基板処理装置及び基板処理装置の調整方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20180111860A (ko) 2016-02-05 2018-10-11 잇빤자이단호진 화인 세라믹스 센터 세라믹스 소결체의 제조 방법, 그리고 세라믹스 성형체의 제조 방법 및 제조 장치
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
RU2622234C1 (ru) * 2016-04-05 2017-06-13 Публичное акционерное общество Арзамасское научно-производственное предприятие "ТЕМП-АВИА" (ПАО АНПП "ТЕМП-АВИА") Устройство стабилизации температуры электронных изделий
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN106981416B (zh) * 2017-05-17 2019-11-26 武汉华星光电技术有限公司 利用准分子激光退火制作低温多晶硅的系统及其承载装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7030006B2 (ja) * 2018-04-12 2022-03-04 株式会社ディスコ 拡張方法及び拡張装置
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
WO2019230030A1 (ja) * 2018-05-28 2019-12-05 日本特殊陶業株式会社 保持装置、および、保持装置の製造方法
CN112204724B (zh) * 2018-05-28 2024-06-18 日本特殊陶业株式会社 保持装置的制造方法以及保持装置
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US20210319984A1 (en) * 2018-08-15 2021-10-14 Evatec Ag Method and aparatus for low particle plasma etching
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102608397B1 (ko) * 2018-10-16 2023-12-01 주식회사 미코세라믹스 미들 영역 독립 제어 세라믹 히터
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
RU2719733C1 (ru) * 2018-12-26 2020-04-22 Автономная некоммерческая образовательная организация высшего образования «Сколковский институт науки и технологий» (Сколковский институт науки и технологий) Эластичная электрическая схема и способ ее изготовления
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6839314B2 (ja) * 2019-03-19 2021-03-03 日本碍子株式会社 ウエハ載置装置及びその製法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11575335B2 (en) 2020-03-26 2023-02-07 Samsung Electronics Co., Ltd. Electrostatic chuck device
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2023550333A (ja) * 2020-11-19 2023-12-01 ラム リサーチ コーポレーション 基板全体に均一な温度を有する基板支持体
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024070267A1 (ja) * 2022-09-29 2024-04-04 東京エレクトロン株式会社 基板処理装置および基板処理方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JP2953395B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 スパッタリング装置
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6222161B1 (en) * 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP4641569B2 (ja) 1998-07-24 2011-03-02 日本碍子株式会社 窒化アルミニウム質焼結体、耐蝕性部材、金属埋設および半導体保持装置
JP3892609B2 (ja) 1999-02-16 2007-03-14 株式会社東芝 ホットプレートおよび半導体装置の製造方法
JP2000269189A (ja) 1999-03-15 2000-09-29 Sony Corp プラズマエッチング法
US6406545B2 (en) * 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
JP3273773B2 (ja) * 1999-08-12 2002-04-15 イビデン株式会社 半導体製造・検査装置用セラミックヒータ、半導体製造・検査装置用静電チャックおよびウエハプローバ用チャックトップ
JP2002170870A (ja) * 2000-12-01 2002-06-14 Ibiden Co Ltd 半導体製造・検査装置用セラミック基板および静電チャック
US6414276B1 (en) * 2000-03-07 2002-07-02 Silicon Valley Group, Inc. Method for substrate thermal management
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
EP1391140B1 (en) * 2001-04-30 2012-10-10 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20030089457A1 (en) * 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
JP2004282047A (ja) * 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP2006140367A (ja) * 2004-11-15 2006-06-01 Sumitomo Electric Ind Ltd 半導体製造装置用加熱体およびこれを搭載した加熱装置
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) * 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872748B2 (en) 2011-09-16 2020-12-22 Lam Research Corporation Systems and methods for correcting non-uniformities in plasma processing of substrates
KR20210089250A (ko) * 2011-09-16 2021-07-15 램 리써치 코포레이션 국부화된 자기장을 생성하는 기판 지지 어셈블리의 컴포넌트

Also Published As

Publication number Publication date
US20100078424A1 (en) 2010-04-01
US20080083723A1 (en) 2008-04-10
CN101682937A (zh) 2010-03-24
WO2008039611A3 (en) 2008-09-04
US8207476B2 (en) 2012-06-26
CN101682937B (zh) 2013-04-24
JP2010506381A (ja) 2010-02-25
JP5249937B2 (ja) 2013-07-31
JP2013149977A (ja) 2013-08-01
WO2008039611A2 (en) 2008-04-03
US7723648B2 (en) 2010-05-25
KR20140114900A (ko) 2014-09-29

Similar Documents

Publication Publication Date Title
US7952049B2 (en) Method for multi-step temperature control of a substrate
KR20090071614A (ko) 기판 프로세싱 시스템용 불균일 절연층을 갖는 온도 제어식 기판 홀더
US7838800B2 (en) Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7893387B2 (en) High rate method for stable temperature control of a substrate
KR101668498B1 (ko) 고온의 정전식 척
JP6967067B2 (ja) 個別に制御可能なヒータ素子のアレイを有する基板キャリア
JP2017228230A (ja) 基板処理システムおよび温度制御方法
KR20070039884A (ko) 기판 온도 프로파일 제어를 위한 방법 및 시스템
JP6967068B2 (ja) ヒータ素子アレイを使用する、基板キャリアの温度測定
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
US20220243332A1 (en) Temperature control of a multi-zone pedestal
KR20220032616A (ko) 기판 프로세싱 시스템들을 위한 열전 냉각 페데스탈 (thermoelectric cooling pedestal)

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20140828

Effective date: 20150122