TWI406323B - 多區電阻加熱器 - Google Patents

多區電阻加熱器 Download PDF

Info

Publication number
TWI406323B
TWI406323B TW095142121A TW95142121A TWI406323B TW I406323 B TWI406323 B TW I406323B TW 095142121 A TW095142121 A TW 095142121A TW 95142121 A TW95142121 A TW 95142121A TW I406323 B TWI406323 B TW I406323B
Authority
TW
Taiwan
Prior art keywords
heating
heating element
central region
power
base
Prior art date
Application number
TW095142121A
Other languages
English (en)
Other versions
TW200723370A (en
Inventor
Anqing Cui
Binh Tran
Alexander Tam
Jacob Smith
R Suryanarayanan Iyer
Joseph Yudovsky
Sean M Seutter
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200723370A publication Critical patent/TW200723370A/zh
Application granted granted Critical
Publication of TWI406323B publication Critical patent/TWI406323B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Resistance Heating (AREA)
  • Furnace Details (AREA)

Description

多區電阻加熱器
本發明實施例關於電阻式加熱器、結合電阻式加熱器之設備及加熱基材(如半導體晶圓)之方法。
電阻式加熱器(resistive heater)廣泛用於化學氣相沈積系統之加熱系統中。溫度均勻性是化學氣相沈積製程中的重要因素,也因此,現發展出多區(multi-zone)電阻加熱器以提供化學氣相沈積系統中加熱設備的加熱特性。例如,Chen等人美國專利第6,646,235號案(其全文合併於此以供參考)係揭示具有內區域及外區域之化學氣相沈積電阻加熱器,其中外區域完全環繞內區域。藉由提供此等共中心區域,可補償加熱設備之內區域及外區域所呈現不同速度的熱損失,因而在整個晶圓直徑上提供更均勻的熱。
晶圓上溫度均勻性的微小差異,即便是只有幾度,也會傷害化學氣相沈積製程。製造容差上的限制會使其非常難以製造出在整個周圍具有一致加熱功率特性的多區加熱器。因此,在既定半徑下,電阻加熱器的一區域會提供較相同半徑的其他區域更多或更少的加熱功率。所得的溫度差異會導致原須被控制住以確保相同電阻加熱器所有多片晶圓上有製程再現性出現一層複雜性。此外,推定多個相同電阻加熱器表現出不同加熱功率特性時,會導致對製程再現性有害的另一層複雜性。此外,化學氣相沈積處理室本身可能會有許多區域展現溫度均勻性上的不規則,導致進一步的溫度不規則性。
因此,業界亟待提出一種可彌補加熱不規則性之電阻加熱器,以強化高溫沈積系統(例如結合化學氣相沈積處理室之反應器)中的製程再現性。
本發明之態樣係提供關於電阻加熱器之方法、設備及系統。其一態樣係關於包括一座台及一耦接至該座台之軸部的設備。該座台包括一本體,其具有一表面用以支撐晶圓。至少一第一加熱元件係設於該本體中心區域內,額外的加熱元件可設於該中心區域中。而至少兩個其他加熱元件會設於該本體中,每一者部分地環繞該中心區域,且每一者周圍地與另一者鄰近。於一實施例中,僅有一個溫度感應器(如,熱電耦)設於該中心區中,且用於控制所有加熱元件的加熱功率。於另一實施例中,四個加熱元件係設於本體中,其各部分地環繞該中心區域。於另一實施例中,該中心區中的加熱元件係鄰設於該本體之頂側,而其他加熱元件則鄰設至該本體底側。
本發明另一態樣提供一加熱系統,其包括一電阻加熱器、一用於電阻加熱器之溫度感應器、一用於電阻加熱器之電源供應器、以及一控制電源供應器之控制系統。該電阻加熱器具有一座台以及一耦接該座台之軸部。該座台具有一本體,其具有一表面以支撐晶圓。於一或多個實施例中,一第一電阻加熱元件係設於該本體之中心區域內。至少第二及第三電阻加熱元件係設於該本體中,每一者部分環繞該中心區域,且每一者周圍鄰近另一者。該第一、第二及第三加熱元件可提供熱予該基座各個第一、第二及第三區域。該電源供應器包括第一、第二及第三電源,分別提供電源至第一、第二及第三電阻加熱元件。於一實施例中,該控制系統可依據溫度感應器之輸出以及至第二及第三電阻加熱元件之電源的功率比來控制該第一、第二及第三電源。
另一態樣關於在電阻加熱系統中提供製程再現性的方法。加熱表面劃分為一中央區域以及至少兩外部區域,各外部區域僅部分環繞該中央區域。各外部區域相對於中央區域給定各自的功率比。於加熱製程期間測量中央區域的溫度,並依據所測得溫度將加熱功率分配至中央區域。加熱電源依據輸送至中央區域的加熱功率以及各外部區域的各個功率而比輸送至各外部區域。於一實施例中,係實施校準程序以得功率比。
在描述本發明各個例示性實施例之前,應理解本發明並不限於下述結構或製程步驟的細節。本發明亦可以不同方式進行或實施其他實施例。
第1圖呈現化學氣相沈積系統105之截面圖。加熱設備10係設於化學氣相沈積系統105之反應處理室100內。該反應處理室100可支援,例如,化學氣相沈積反應製程、LPCVD反應製程或類似製程,且係由處理室本體110所界及環繞。該加熱設備10包括一基座20以加熱或支撐晶圓,以及一用於支撐該基座20之軸部30,以部分截面圖表示。
如第2圖所示,該基座20具有一本體,其具有一上表面22形成一晶圓座24,用以支撐晶圓。本體21具有一中心區域41,以及環繞該中心區域41的外部區域49。設在中心區域41之上表面22正下方者為一第一電阻加熱器51,以加熱該中心區域或地帶41。應可理解該中心區域或地帶41可以單一加熱器51或多個加熱器進行加熱。
如第3及第6圖所示,本體21具有一底表面26連接至該軸部30。該軸部30設於該中心區域41中心處,且具有一開口32沿該軸部30縱長度延伸。該底表面26之外部區域49劃分為四個大致等尺寸區域42,43,44,45。第二電阻加熱器52加熱區域42;第三電阻加熱器53加熱區域43;第四電阻加熱器54加熱區域44;以及第五電阻加熱器加熱區域45。因此,第二、第三、第四及第五電阻加熱器52-55各部分地環繞該第一電阻加熱器51,而第二、第三、第四及第五電阻加熱器52-55彼此係周圍地鄰近。該第二、第三、第四及第五電阻加熱器52-55各安置於底表面26正下方。然而,於一替代實施例中,該第二、第三、第四及第五電阻加熱器52-55各可設於該上表面22之正下方。同樣的,於一替代實施例中,該第一電阻加熱器51可設於該中心區域41內底表面26之正下方。例如,於一實施例中,該第一電阻加熱器51可設於該中心區域41底表面之正下方,且該第二至第四電阻加熱器52-55可設於該外部區域49中其各自區域42-45上表面22的正下方。第6圖以虛線繪示區域41-45,且基材或晶圓301設於該設備上。
第4圖繪示第2圖中沿線IV-IV的截面圖。該本體21及軸部30可由任一可抵抗高溫以及與化學氣相沈積製程有關之腐蝕材料的適當材料製成,例如氮化鋁、石墨、氮化鋁或熱解(pyrolytic)氮化硼。於一或多個實施例中,介電材料67(例如熱解氮化硼)係設於整個頂表面22以形成晶圓座24,以於其上置放欲處理之晶圓。晶圓座24包括唇部緣69,以確保製程期間晶圓有適當地固定,並位於晶圓座24上良好界定之位置中。第一電阻加熱元件51設於該本體21中,於該介電層69之正下方。第三及第四電阻加熱元件53,55係設於本體21中,即底表面26之正上方。當然,第二及第四電阻加熱元件52,54(未示出)可見於與線IV-IV呈九十度之相同截面中。所有電阻加熱元件51-55可由業界已知的適當材料製成,且最佳應具有與本體21類似之熱膨脹特性。用於電阻加熱元件51-55之適當材料範例包括熱解石墨。各電阻加熱元件51-55具有對應之電源線61-65,穿過軸部30之開口32以提供至該電阻加熱元件51-55的各個電源,並藉以獨立控制分配至內區域41以及至外部區域42-45之各者的加熱電源。當然,一或多個接地線(未示出)也可通過開口32以完成各電阻加熱元件51-55的回路。
熱電耦70可設置以測量中心區域41之溫度。於一實施例中,由底表面26延伸出來的開口74係用以將熱電耦70定位於第一電阻加熱元件51及電阻加熱元件52,53,54以及55之間,藉以將熱電耦70熱耦接該本體21之中心區域41。訊號線72可由熱電耦70延伸通過基座20之開口74,並通過軸部30之開口32以提供與中心區域41有關的溫度資訊予加熱設備10之控制系統。當然,其他溫度感應器配置亦為可能。例如,光高溫計可用以測量中心區域41的溫度。
繪示於第5圖中的控制系統200可用以控制加熱設備10。該控制系統200可為第1圖化學氣相沈積系統105之控制系統的一部份,且電性連接至加熱設備10。加熱設備10及控制系統200兩者一起形成化學氣相沈積系統105的加熱系統。本發明範圍亦涵蓋控制系統200配置的各種可能性,且數位及類比電路的各種排列也可使用以形成控制系統200。任何控制系統200的適當配置都可使用,並提供該領域中熟習技術人士在閱讀下列揭示後可進行例行工作的詳細控制系統200。
依據一實施例,控制系統200包括使用者輸入/輸出系統210、溫度輸入220、反餽控制電路230以及電源供應器240。該使用者輸入/輸出系統210可提供使用者介面,以讓使用者選擇晶圓座22中心區域41的目標溫度211,並選擇分別用於第二、第三、第四及第五電阻加熱器52,53,54,55的第二、第三、第四及第五功率比212,213,214,215。
溫度輸入220係電性連接至熱電耦70的訊號線72,以得到基座20中心區域41的即時電流溫度。該溫度輸入220接著可將此電流溫度221送至反餽控制電路230。與該領域中所用方法類似,該反餽控制電路230可將電流溫度221及目標溫度211接收為輸入,並產生加熱電源控制輸出231。加熱電源控制輸出231的目的係為控制輸入該第一電阻加熱器51的功率,以讓熱電耦70所測的中心區域41溫度能盡可能密切追隨目標溫度211。該反餽控制電路230可設計以利用該領域熟知的任何適當反餽控制方法。
電源供應器240可提供加熱設備10中能獨立啟動電阻加熱元件51,52,53,54,55所需的電力。該電源供應器240包括一第一電源輸出241,電性耦接至該第一電源線61以提供第一加熱元件51之電源,並因此加熱中心區域41。同樣的,電源供應器240包括第二、第三、第四及第五電源輸出242,243,244及245,其各分別電性連接至第二、第三、第四及第五電線62,63,64及65,以加熱第二、第三、第四及第五區域42,43,44及45。
該第一電源輸出241可將來自反餽控制電路230之加熱電源控制輸出231接收為輸入,其可為類比或數位訊號,並於第一電源線61上回應提供對應的電源。因此,藉第一電源輸出241供應至第一電阻加熱器51的電源係直接與該反餽控制電路230所產生的加熱電源控制輸出231有關。
該第二電源輸出242可將來自該反餽控制電路230的加熱電源控制輸出231以及來自使用者輸入/輸出電路210的第二加熱器功率比212接收為輸入。在回應上,該第二電源輸出242可於第二電源線62上提供電源,以使第一電源線61上對第二電源線62上的電源比等於第二加熱器電源比212。因此,由第二電源輸出242供應至第二電阻加熱器52之電源等於第一電源線61上所提供之電源乘以(或除以)第二加熱器功率比212。同樣的,由第三、第四及第五電源輸出243,244及245供應予第三、第四及第五電阻加熱器53,54及55的電源等於第一電源線61上所供應電源分別乘以(或除以)第三、第四或第五加熱器功率比213,214及215。因此,相對於供應至中心區域41之電源來獨立控制供應予區域42,43,44,45之加熱功率便可藉由分別調整功率比212,213,214,215、且因此區域42,43,44及45之加熱特性上的差異可相對於彼此及中心區域41作個別彌補的方式而成為可能。當然,電源供應器240的其他設計也有可能。而無論選擇什麼設計,電源供應器240應依據供應至中心區域41及外部區域42-45之各個功率比212-215來獨立控制各外部區域42-45的加熱功率。
藉由將基座20的外部區域49劃分為數個環繞中心區域41的區域42-45,以及藉由進一步相對於供應至中心區域41之加熱電源來提供此等外部區域42-45各個加熱器功率比212-215的方式,即時加熱系統便可能彌補不同加熱設備10其加熱特性上的差異,並進一步彌補化學氣相沈積處理室100本身加熱特性上的差異。藉由提供加熱功率比212-215適當數值,也可在整個晶圓座24上提供持續加熱,而強化製程再現性。
可對特定化學氣相沈積處理室100內各個加熱設備10實施校準程序,以判定所欲目標溫度211處的適當加熱器功率比212-215。關於第1-6圖,進行此方式的可能方法係將所有加熱器功率比212-215最初設定在數個隱含值(例如1.0)、或由最早的校準步驟取得的數值。接著,測試晶圓301可放置於加熱設備10的晶圓座24上,且中心區域41可加熱至所欲目標溫度211。隨後,可於晶圓301上外部區域42-45之各者中進行獨立溫度測量,例如,藉由使用接附至各區域42-45之熱電耦、或一或多個的高溫計。藉由使用者輸入/輸出電路210,加熱器功率比212-215可接著作調整,同時反餽控制電路230可將中心區域41維持在目標溫度211,直至整個晶圓301達加熱模式,以盡可能為所欲製程達到最佳化。所得加熱器電源功率212-215可隨後以目標溫度211使用於生產上。
當然,加熱器功率比212-215不需固定數值。相反的,加熱器功率比212-215可隨著目標溫度作函數上的變化,且因此,整個校準程序可包含一系列在預定溫度上的獨立校準步驟,以於此等預定溫度之每一者處得數組加熱器功率比212-215。可接著使用插補(interpolation)的方式來判定在預定溫度間目標溫度211處的加熱器功率比212-215。
應可理解的是,用於控制加熱設備10之控制系統可包含數個溫度感應器。各溫度感應器可測量單一區域或基座區域的溫度。該溫度感應器可包括數個熱電耦、高溫計或其他適當溫度感應裝置。不同類型溫度感應器的結合也可使用。
雖然此處所述發明已參照特定實施例描述,但應可理解的是此等實施例僅說明本發明範例及應用。該等熟習此項技術人士應可輕易瞭解對於本發明方法、設備及系統的不同潤飾及變化也可在不背離發明精神及範圍下提出。例如,該基座本體之外部區域可不僅劃分為四個區域,而是任何多於一個以上的區域數目。於特定實施例中,此等區域之各者應可提供其各自的加熱功率比。同樣的,各個加熱器區域可彼此重疊。不同加熱元件可位於上表面、底表面或內嵌於基座本體中。區域溫度測量可藉由利用多個溫度測量裝置(熱電耦、高溫計等)來進行。因此,本發明亦包含附加申請專利範圍內及其均等物之潤飾及變化。
10...加熱設備
20...加熱設備之基座
21...加熱設備之本體
22...本體頂表面
24...加熱設備之晶圓座
26...本體底表面
30...加熱設備之軸部
32...通過軸部之開口
41...本體中心區域
42...本體外部區域
43...本體外部區域
44...本體外部區域
45...本體外部區域
49...本體外部區域
51...第一電阻加熱元件
52...第二電阻加熱元件
53...第三電阻加熱元件
54...第四電阻加熱元件
55...第五電阻加熱元件
61...第一電阻加熱元件之電源線
62...第二電阻加熱元件之電源線
63...第三電阻加熱元件之電源線
64...第四電阻加熱元件之電源線
65...第五電阻加熱元件之電源線
67...介電層
69...晶圓座唇部緣
70...熱電耦
72...熱電耦訊號線
74...通過加熱設備基座之開口
100...反應處理室
105...CVD系統
110...處理室本體
200...加熱設備控制系統
210...使用者輸入/輸出系統
211...目標溫度
212...第二功率比
213...第三功率比
214...第四功率比
215...第五功率比
220...溫度輸入
221...電流溫度
230...反餽控制電路
231...加熱電源控制輸出
240...電源供應器
241...第一電源輸出
242...第二電源輸出
243...第三電源輸出
244...第四電源輸出
245...第五電源輸出
301...測試晶圓
第1圖係顯示具有本發明一實施例之加熱設備的化學氣相沈積系統截面圖;第2圖為第1圖加熱設備之頂部概要圖;第3圖為第1圖加熱設備之底部概要圖;第4圖為第1圖加熱設備之部分截面圖;第5圖繪示第1圖加熱設備之控制系統;第6圖為第1圖加熱設備之頂部概要圖,繪示其上置有一基材,且該設備之加熱區域係以虛線表示。
10...加熱設備
20...加熱設備之基座
21...加熱設備之本體
22...本體頂表面
24...加熱設備之晶圓座
26...本體底表面
30...加熱設備之軸部
32...通過軸部之開口
41...本體中心區域
42...本體外部區域
43...本體外部區域
44...本體外部區域
45...本體外部區域
49...本體外部區域
51...第一電阻加熱元件
52...第二電阻加熱元件
53...第三電阻加熱元件
54...第四電阻加熱元件
55...第五電阻加熱元件

Claims (17)

  1. 一種用於電阻加熱基材之設備,至少包含:一基座,該基座包含一本體、一頂表面及一對側的底表面,該頂表面具有一區域以支撐一基材;一軸部,該軸部耦接至該基座;一第一加熱元件,該第一加熱元件設於該基座之該本體之一中心區域內;第二、第三、第四及第五加熱元件,該等加熱元件設於該基座之該本體內,該第二、第三、第四及第五加熱元件之每一者部分地環繞該第一加熱元件,且其中該第二、第三、第四及第五加熱元件係彼此周圍鄰近;其中該第一加熱元件係位於該本體之該頂表面或底表面上,而該第二、第三、第四及第五加熱元件係位於該本體之另一表面上,且介電材料係遍佈於該頂表面上以形成一晶圓座;以及唇部緣,以確保製程期間一晶圓有適當地固定並位於該晶圓座上界定之位置中。
  2. 如申請專利範圍第1項所述之設備,更包含僅一溫度測量裝置,該溫度測量裝置可熱耦接至該本體之該中心區域。
  3. 如申請專利範圍第1項所述之設備,其中該第二、第 三、第四及第五加熱元件大致界定周圍鄰近四分之一圓(quadrants),以分別部分地環繞該第一加熱元件。
  4. 如申請專利範圍第3項所述之設備,其中該第一加熱元件係設置於鄰近該本體之該頂表面或底表面,而該第二、第三、第四及第五加熱元件係設置於鄰近該本體的另一表面。
  5. 如申請專利範圍第1項所述之設備,其中該基座係由適於抵抗超過溫度至少約750℃之材料組成。
  6. 如申請專利範圍第5項所述之設備,其中該基座包含氮化鋁。
  7. 一種結合申請專利範圍第1項設備之反應器,其中該反應器包括一處理室,該處理室用於在基材上形成薄膜,且該設備係位於該處理室中。
  8. 一種結合申請專利範圍第4項設備之反應器,其中該反應器包括一處理室,該處理室用於在基材上形成薄膜,且該設備係位於該處理室中。
  9. 一種用於化學氣相沈積設備之加熱系統,至少包含: 一電阻加熱器,該電阻加熱器包括一本體及一基座,該基座具有一頂表面及一對側的底表面,該頂表面具有一區域以支撐一基材;一軸部,該軸部耦接至該基座;一第一加熱元件,該第一加熱元件設於該基座之本體之一中心區域內,以加熱該基座之一第一區域;以及第二、第三、第四及第五加熱元件,該等加熱元件設於該基座之本體內以分別加熱該基座之第二、第三、第四及第五區域,該第二、第三、第四及第五加熱元件之每一者部分地環繞該第一加熱元件,且其中該第二、第三、第四及第五加熱元件係周圍地彼此鄰近;一溫度感應器,該溫度感應器用於測量該基座之該中心區域之溫度;至少第一、第二、第三、第四及第五電源,該等電源用以分別提供電源至該第一、第二、第三、第四及第五加熱元件;一控制系統,該控制系統用於控制該第一、第二、第三、第四及第五電源;其中該第一加熱元件係位於該本體之該頂表面或底表面上,而該第二、第三、第四及第五加熱元件係位於該本體之另一表面上,且介電材料係遍佈於該頂表面上以形成一晶圓座;以及唇部緣,以確保製程期間一晶圓有適當地固定並位於該晶圓座上界定之位置中。
  10. 如申請專利範圍第9項所述之加熱系統,其中該第二、第三、第四及第五加熱元件大致界定周圍鄰近四分之一圓,以分別部分地環繞該第一加熱元件,且至少第四及第五電源係由該控制系統控制,以分別提供電源予該至少第四及第五加熱元件。
  11. 如申請專利範圍第10項所述之加熱系統,其中該第一加熱元件係設置於該電阻加熱器之本體之該頂表面或底表面鄰近處,而該第二、第三、第四及第五加熱元件係設置於該電阻加熱器之本體之另一表面的鄰近處。
  12. 如申請專利範圍第9項所述之加熱系統,其中該溫度感應器為一熱電耦,該熱電耦係設於該基座之本體之該中心區域內,該熱電耦具有至少一引線(lead)延伸通過該軸部。
  13. 如申請專利範圍第9項所述之加熱系統,更包括至少第二及第三溫度感應器,用以測量該第二及第三區域之各別溫度。
  14. 如申請專利範圍第13項所述之加熱系統,其中至少一溫度感應器包括一熱電耦。
  15. 一種用於電阻加熱基材之方法,至少包含以下步驟:將一電阻加熱元件之一部分劃分為一中心區域以及至少四個外部區域,各外部區域僅部分環繞該中心區域;相對於該中心區域提供各外部區域各自之功率比;僅測量該中心區域之溫度;依據所測得的溫度,提供加熱電源至該中心區域;以及依據分配至該中心區域之加熱功率以及該等外部區域之各自功率比,提供分配至各外部區域之加熱電源;以及其中該加熱元件具有一頂表面及一對側的底表面,且該中心區域或四個外部區域二者中至少一者係位於該頂表面上,而該中心區域或四個外部區域二者中之一者係位於該底表面上,且介電材料係遍佈於該頂表面上以形成一晶圓座;及唇部緣係配置以確保製程期間一晶圓有適當地固定並位於該晶圓座上界定之位置中。
  16. 如申請專利範圍第15項所述之方法,更包括實施一校準程序以得到該等功率比之步驟。
  17. 如申請專利範圍第15項所述之方法,其中各外部區域 係周圍地鄰近另一外部區域。
TW095142121A 2005-12-01 2006-11-14 多區電阻加熱器 TWI406323B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/293,626 US20070125762A1 (en) 2005-12-01 2005-12-01 Multi-zone resistive heater

Publications (2)

Publication Number Publication Date
TW200723370A TW200723370A (en) 2007-06-16
TWI406323B true TWI406323B (zh) 2013-08-21

Family

ID=38117685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095142121A TWI406323B (zh) 2005-12-01 2006-11-14 多區電阻加熱器

Country Status (4)

Country Link
US (1) US20070125762A1 (zh)
KR (5) KR20070057669A (zh)
CN (1) CN1990908A (zh)
TW (1) TWI406323B (zh)

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8073316B2 (en) * 2008-01-31 2011-12-06 Kabushiki Kaisha Toshiba Oven for semiconductor wafer
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
CN101660143B (zh) * 2008-08-28 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 平板加热器及等离子体加工设备
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101644673B1 (ko) * 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8791392B2 (en) * 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP4980461B1 (ja) * 2010-12-24 2012-07-18 三井造船株式会社 誘導加熱装置
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR101353679B1 (ko) * 2012-05-04 2014-01-21 재단법인 포항산업과학연구원 대구경 단결정 성장장치 및 이를 이용하는 성장방법
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE102013109155A1 (de) * 2013-08-23 2015-02-26 Aixtron Se Substratbehandlungsvorrichtung
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR102429619B1 (ko) 2015-11-18 2022-08-04 삼성전자주식회사 본딩 스테이지와 이를 포함하는 본딩 장치
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JPWO2019008889A1 (ja) * 2017-07-07 2020-05-21 住友電気工業株式会社 半導体基板加熱用の基板載置台
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107845589A (zh) * 2017-10-27 2018-03-27 德淮半导体有限公司 加热基座以及半导体加工设备
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
JP1712715S (ja) * 2020-12-08 2022-04-15 ヒータ
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875960B2 (en) * 2001-10-17 2005-04-05 Ngk Insulators, Ltd. Heating system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6617553B2 (en) * 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
JP4744016B2 (ja) 2001-06-29 2011-08-10 京セラ株式会社 セラミックヒータの製造方法
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
JP4761723B2 (ja) * 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875960B2 (en) * 2001-10-17 2005-04-05 Ngk Insulators, Ltd. Heating system

Also Published As

Publication number Publication date
KR20070057669A (ko) 2007-06-07
KR20160048743A (ko) 2016-05-04
KR20140103246A (ko) 2014-08-26
KR20130050321A (ko) 2013-05-15
CN1990908A (zh) 2007-07-04
US20070125762A1 (en) 2007-06-07
KR101781032B1 (ko) 2017-10-23
KR20090052837A (ko) 2009-05-26
TW200723370A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
TWI406323B (zh) 多區電阻加熱器
US9892941B2 (en) Multi-zone resistive heater
US7952049B2 (en) Method for multi-step temperature control of a substrate
CN101807515B (zh) 多区域电阻加热器
US7723648B2 (en) Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7893387B2 (en) High rate method for stable temperature control of a substrate
JP4495340B2 (ja) ウェーハ温度ランピング中でのウェーハの放射状温度勾配制御方法および装置
US7838800B2 (en) Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
KR100708568B1 (ko) 기판 가열 장치
US8967860B2 (en) Low temperature measurement and control using low temperature pyrometry
US20120211484A1 (en) Methods and apparatus for a multi-zone pedestal heater
TW201346061A (zh) 用於控制基板塗佈裝置之座體表面溫度的方法及裝置
JP2006049844A (ja) 基板加熱装置
US20130130184A1 (en) Apparatus and Method for Controlling Wafer Temperature
TW202211721A (zh) 用於電阻加熱器之被動和主動校準方法
JPH06204143A (ja) Cvd装置
JP2008141071A (ja) 基板の熱処理装置
CN103794528B (zh) 半导体加工设备
CN108624871A (zh) 一种温度控制系统、薄膜沉积设备及温度控制方法
JP2579809Y2 (ja) 枚葉式cvd装置
JP2005327846A (ja) 基板加熱装置
JPH03252127A (ja) 気相成長装置の温度制御方法
KR101002939B1 (ko) 히터의 다중 온도 제어시스템
JPH04363026A (ja) 半導体製造装置
JPH10199822A (ja) 半導体熱処理装置