KR20090052837A - 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법 - Google Patents

다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법 Download PDF

Info

Publication number
KR20090052837A
KR20090052837A KR1020090028015A KR20090028015A KR20090052837A KR 20090052837 A KR20090052837 A KR 20090052837A KR 1020090028015 A KR1020090028015 A KR 1020090028015A KR 20090028015 A KR20090028015 A KR 20090028015A KR 20090052837 A KR20090052837 A KR 20090052837A
Authority
KR
South Korea
Prior art keywords
heating
power
stage
central region
zone
Prior art date
Application number
KR1020090028015A
Other languages
English (en)
Inventor
안큉 쿠이
빈 트랜
알렉산더 탐
자콥 더블유. 스미쓰
알. 서야나라야난 아이어
조셉 유도브스키
씬 엠. 세우터
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090052837A publication Critical patent/KR20090052837A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Resistance Heating (AREA)
  • Furnace Details (AREA)

Abstract

기판을 가열하기 위한 장치, 반응기, 및 방법이 공개된다. 상기 장치는 기판을 지지하기 위한 영역을 가지는 표면 및 바디를 포함하는 스테이지, 상기 스테이지에 결합되는 샤프트, 상기 스테이지의 바디의 중앙 영역 내에 배치되는 제 1 가열 요소, 및 상기 스테이지의 바디 내에 배치되는 적어도 제 2 및 제 3 가열 요소를 포함하며, 상기 적어도 제 2 및 제 3 가열 요소는 각각 상기 제 1 가열 요소를 부분적으로 둘러싸고, 상기 적어도 제 2 및 제 3 가열 요소는 서로 주변이 인접한다.

Description

다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법 {MULTI-ZONE RESISTIVE HEATING APPARATUS, REACTOR INCORPORATING THE MULTI-ZONE RESISTIVE HEATING APPARATUS, HEATING SYSTEM FOR A CHEMICAL VAPOR DEPOSITION APPARATUS, AND METHOD FOR RESISTIVE HEATING OF SUBSTRATE}
본 발명의 실시예는 저항 가열기, 저항 가열기가 결합된 장치, 및 반도체 웨이퍼와 같은 기판의 가열 방법에 관한 것이다.
저항 가열기는 화학 증착(CVD) 시스템의 가열 시스템에 널리 적용된다. 온도 균일성은 CVD 공정에서 중요한 고려사항이며, 결과적으로, 다중 영역 저항 가열기는 CVD 시스템 내의 가열 장치의 가열 특성에 걸쳐 더 큰 제어를 제공하도록 발전되어 왔다. 예를 들면, 본 명세서에서 전체적으로 참조되는 첸(Chen) 등의 미국 특허 제 6,646,235호는 내부 영역 및 외부 영역을 가지는 CVD 저항 가열기를 공개하며, 외부 영역은 내부 영역을 완전히 둘러싼다. 이러한 동심 영역을 제공함으로써, 가열 장치의 내부 및 외부 영역에 의해 나타나는 열 손실의 상이한 비율을 보상하는 것이 가능하여, 웨이퍼의 전체 직경에 걸쳐 균일한 가열을 제공한다.
단지 몇 ℃ 정도의 웨이퍼에 걸친 온도 균일성에서의 약간의 변화는 CVD 공정에 악 영향을 미칠 수 있다. 제조 허용오차의 제한은 전체 주변 둘레의 일정한 가열 전력 특성을 가지는 다중 영역 가열기를 제조하는 것을 매우 어렵게 만든다. 따라서, 주어진 반경에서, 저항 가열기의 하나의 영역은 동일한 반경에서 또 다른 영역 보다 더 크거나 더 작은 가열 전력을 제공할 수 있다. 결과적으로 온도 변화는 동일한 가열 저항기에 대한 다중 웨이퍼에 걸친 공정 반복가능성을 보장하도록 제어되어야 하는 하나의 복잡한 층을 도입한다. 더욱이, 추정적으로 일치되는 저항 가열기는 저항 가열기들 중에서 상이한 가열 전력 특성을 보이며, 이는 공정 반복가능성에 악 영향을 미치는 또 다른 복잡한 층을 도입한다. 또한, CVD 챔버 자체는 온도 균일성에서의 불규칙성을 나타내서 추가의 가능한 온도 불규칙성을 도입하는 영역을 가질 수 있다.
따라서, CVD 챔버와 결합되는 반응기와 같은, 고온 증착 시스템에서의 공정 반복가능성을 강화하도록 가열 불규칙성에 대한 보상을 제공할 수 있는 저항 가열기를 제공하는 것이 바람직하다.
본 발명의 양태는 저항 가열기에 관련되는 방법, 장치 및 시스템을 제공한다. 하나의 양태는 스테이지, 및 스테이지에 결합되는 샤프트를 포함하는 장치에 관련된다. 스테이지는 웨이퍼를 지지하는 표면을 구비한 바디를 포함한다. 하나 이상의 제 1 가열 요소가 바디의 중앙 영역에 배치된다. 부가적인 가열 요소는 중앙 영역에 제공될 수 있다. 두 개 이상의 다른 가열 요소가 바디에 배치되며, 각각 중앙 영역을 부분적으로 둘러싸며, 각각 서로 주변에 인접한다. 일 실시예에서, 중앙 영역에 배치되는 단지 하나의 온도 센서, 예를 들면, 열전쌍이 가열 요소 모두의 가열 전력을 제어하기 위해 이용된다. 또 다른 실시예에서, 4개의 가열 요소가 바디에 제공되고 각각의 가열 요소는 중앙 영역을 부분적으로 둘러싼다. 또 다른 실시예에서, 중앙 영역 내의 가열 요소는 바디의 상측부에 인접하여 배치되고, 다른 가열 요소는 바디의 바닥 측부에 인접하에 배치된다.
본 발명의 또 다른 양태는 저항 가열기, 저항 가열기용 온도 센서, 저항 가열기용 전원, 및 전원을 제어하기 위한 제어 시스템을 제공한다. 저항 가열기는 스테이지 및 스테이지에 결합되는 샤프트를 포함한다. 스테이지는 웨이퍼를 지지하기 위한 표면을 구비한 바디를 가진다. 하나 또는 그 이상의 실시예에서, 제 1 저항 가열 요소는 바디의 중앙 영역에 배치된다. 적어도 제 2 및 제 3 저항 가열 요소가 바디에 배치되며 각각 중앙 영역을 부분적으로 둘러싸며 각각 서로 주변이 인접한다. 제 1, 제 2 및 제 3 가열 요소는 스테이지의 각각의 제 1, 제 2 및 제 3 영역에 열을 제공한다. 전원은 제 1, 제 2 및 제 3 저항 가열 요소에 전력을 각 각 제공하기 위해 제 1, 제 2 및 제 3 전원을 포함한다. 일 실시예에서, 제어 시스템은 온도 센서로부터의 출력 및 제 2 및 제 3 저항 가열 요소의 전력 비에 따라 제 1, 제 2 및 제 3 전원을 제어한다. 일 실시예에서, 단지 온도 센서가 저항 가열기의 온도를 측정하기 위해 이용된다. 또 다른 실시예에서, 온도 센서는 스테이지의 바디의 중앙 영역 내에 배치되는 열전쌍이다. 또 다른 실시예에서, 열전쌍과 같은 부가 온도 센서가 개별 영역의 온도 제어를 위해 제공된다.
또 다른 양태가 저항 가열 시스템에서의 공정 반복가능성을 제공하기 위한 방법에 관련된다. 가열면은 중앙 영역 및 두 개 이상의 외부 영역으로 분리되며, 각각의 외부 영역은 단지 중앙 영역을 부분적으로 둘러싼다. 각각의 외부 영역은 중앙 영역에 대해 각각의 전력 비가 주어진다. 중앙 영역의 온도는 가열 공정 동안 측정되고, 가열 전력은 측정된 온도에 따라 중앙 영역으로 전달된다. 가열 전력은 중앙 영역에 전달되는 가열 전력 및 각각의 외부 영역의 각각의 전력 비에 따라 각각의 외부 영역으로 전달된다. 일 실시예에서, 측정 절차가 전력 비를 얻기 위해 수행된다.
본 발명의 수 개의 전형적인 실시예를 설명하기 전에, 본 발명은 후술되는 상세한 설명에서 제시되는 상세한 구성 또는 공정 단계로 제한되지 않는다는 것을 이해하여야 한다. 본 발명은 다른 실시예가 있을 수 있으며 다양한 방식으로 실시될 수 있다.
도 1은 CVD 시스템(105)의 단면도이다. 가열 장치(10)는 CVD 시스템(105)의 반응 챔버(100) 내에 배치된다. 반응 챔버(100)는 예를 들면, CVD 반응 공정, LPCVD 반응 공정 등을 지지할 수 있으며, 챔버 바디(110)로 한정되어 둘러싸인다. 가열 장치(10)는 웨이퍼를 가열 및 지지하기 위한 스테이지(20), 및 단면으로 부분적으로 도시된, 상기 스테이지(20)를 지지하기 위한 샤프트(30)를 포함한다.
도 2에 도시된 바와 같이, 스테이지(20)는, 웨이퍼를 지지하기 위해 서셉터(24)를 형성하는, 상부면(22)을 구비한 바디(21)를 가진다. 바디(21)는 중앙 영역(41), 및 중앙 영역(41)을 둘러싸는 외부 영역(49)을 가진다. 중앙 영역(41)의 상부면(22) 바로 아래 배치되는 것은 중앙 영역 또는 구역(zone)(41)을 가열하는 제 1 저항 가열기(51)이다. 중앙 영역 또는 구역(41)이 단일 가열기(51) 또는 다중 가열기에 의해 가열될 수 있다는 것을 이해할 것이다.
도 3 및 도 6에 도시된 바와 같이, 바디(21)는 샤프트(30)에 연결되는 바닥면(26)을 가진다. 샤프트(30)는 중앙 영역(41) 내에서 중앙에 장착되고, 샤프트(30)의 종방향 길이를 따라 연장하는 개구(32)를 가진다. 바닥면(26)의 외부 영역(49)은 4개의 실질적으로 동일한 크기의 구역(42, 43, 44, 45)으로 분리된다. 제 2 저항 가열기(52)는 구역(42)을 가열하고, 제 3 저항 가열기(53)는 구역(43)을 가열하고, 제 4 저항 가열기(54)는 구역(44)을 가열하고, 제 5 저항 가열기(55)는 구역(45)을 가열한다. 결론적으로, 제 2, 제 3, 제 4 및 제 5 저항 가열기(52 내지 55)는 제 1 저항 가열기(51)를 각각 부분적으로 둘러싸고, 제 2, 제 3, 제 4 및 제 5 저항 가열기(52 내지 55)는 서로 주변이 인접한다. 제 2, 제 3, 제 4 및 제 5 저항 가열기(52 내지 55)는 각각 바닥면(26) 바로 아래 배치된다. 그러나, 다른 일 실시예에서, 제 2, 제 3, 제 4 및 제 5 저항 가열기(52 내지 55)는 각각 상부면(22) 바로 아래 배치될 수 있다. 유사하게, 다른 일 실시예에서, 제 1 저항 가열기(51)는 중앙 영역(41) 내의 바닥면(26) 바로 아래 배치될 수 있다. 예를 들면, 일 실시예에서, 제 1 저항 가열기(51)는 중앙 영역(41) 내의 바닥면(26) 바로 아래 배치될 수 있으며, 제 2 내지 제 5 저항 가열기(52 내지 55)는 외부 영역(49) 내의 각각의 구역(42 내지 45)에서 상부면(2) 바로 아래 배치될 수 있다. 도 6은 점선으로 도시된 구역(41 내지 45) 및 장치에 배치된 기판 또는 웨이퍼(301)를 구비한 장치를 보여준다.
도 4는 도 2의 라인 Ⅳ-Ⅳ을 따라 도시한 단면도이다. 바디(21) 및 샤프트(30)는 질화 알루미늄, 흑연, 질화 알루미늄 또는 열붕소질화물과 같은 CVD 공정과 관련된 부식성 재료 및 고온을 견딜 수 있는 어떠한 적절한 재료로 제조될 수 있다. 하나 또는 그 이상의 실시예에서, 유전 재료(67), 예를 들면, 열붕소질화물이 상부면(22)에 배치되어 처리되어야 하는 웨이퍼가 배치되는 서셉터(24)를 형성한다. 서셉터(24)는 웨이퍼가 처리 동안 서셉터(24) 상에 잘 형성된 위치에 그리고 깨끗하게 고정되는 것을 보장하도록 립 에지(69)를 포함한다. 제 1 저항 가열 요소(51)는 유전 층(69) 바로 아래, 바디(21) 내에 배치된다. 제 3 및 제 5 저항 가열 요소(53, 55)는 바닥면(26) 바로 위 바디(21) 내에 배치된다. 물론, 제 2 및 제 4 저항 가열 요소(52, 54)(도시 안됨)는 라인 Ⅳ-Ⅳ에 대해 90도가 되는 유사한 단면에서 볼 수 있다. 모든 저항 가열 요소(51 내지 55)는 본 기술 분야에서 공지된 소정의 적절한 재료로 제조될 수 있으며, 이상적으로 바디(21)의 열 팽창 특성 에 유사한 열 팽창 특성을 가져야 한다. 저항 가열 요소(51 내지 55)에 대한 적절한 재료의 일 예는 열분해 흑연이다. 각각의 저항 가열 요소(51 내지 55)는 저항 가열 요소(51 내지 55)에 각각의 전력을 제공하는 샤프트(30)의 관통 개구(32)로 형성되는, 대응하는 전선(61 내지 65)을 가져서, 내부 영역(41), 및 외부 구역(42 내지 45)의 각각으로 전달되는 가열 전력의 독립적인 제어를 허용한다. 물론, 각각의 저항 가열 요소(51 내지 55)의 회로를 완성하기 위해, 개구(32)를 통하여 형성되는, 하나 또는 그 이상의 접지선(도시 안됨)이 제공될 수 있다.
열전쌍(70)이 중앙 영역(41)의 온도를 측정하기 위해 제공될 수 있다. 일 실시예에서, 바닥면(26)으로부터 상방으로 연장하는 개구(74)는 제 1 저항 가열 요소(51) 및 저항 가열 요소(52, 53, 54 및 55) 사이에 열전쌍(70)을 위치설정하기 위해 이용되어, 바디(21)의 중앙 영역(41)과 열전쌍(70)이 열적으로 연결된다. 신호 라인(72)은 스테이지(20)의 개구(74)를 통하여, 그리고 샤프트(30)의 개구(32)를 통하여 열전쌍(70)으로부터 연장할 수 있어, 가열 장치(10)의 제어 시스템에 중앙 영역(41)에 대한 온도 정보를 제공한다. 물론, 다른 온도 센서 구성도 가능하다. 예를 들면, 광학 고온계가 중앙 영역(41)의 온도를 측정하기 위해 이용될 수 있다.
도 5에 도시된, 제어 시스템(200)은 가열 장치(10)를 제어하기 위해 이용될 수 있다. 제어 시스템(200)은 도 1에 도시되는 CVD 시스템(105)에 대한 제어 시스템의 부분일 수 있으며, 가열 장치(10)로 전기적으로 연결된다. 함께, 가열 장치(10) 및 제어 시스템(200)은 CVD 시스템(105)용 가열 시스템을 형성한다. 다양 한 가능성이 제어 시스템(200)의 물리적 실시를 위해 이용가능하며, 제어 시스템(200)을 생성하기 위해 적용될 수 있는 디지털 및 아나로그 회로의 다양한 치환의 과도한 검토는 본 공개 범위를 넘어선다. 제어 시스템(200)의 어떠한 적절한 실시도 이용될 수 있으며, 이는 상세한 제어 시스템(200)을 제공하고 후술되는 설명을 읽고난 후, 본 기술분야의 일반적인 기술자가 용이하게 발명할 수 있을 것이다.
일 실시예에 따라, 제어 시스템(200)은 유저 입력/출력 (I/O) 시스템(210), 온도 입력부(210), 피드백 제어 회로(230) 및 전원(240)을 포함한다. 유저 I/O 시스템(210)은 이용자가 서셉터(22)의 중앙 영역(41)에 대한 타깃 온도(211)를 제 2, 제 3, 제 4 및 제 5 저항 가열기(52, 53, 54, 55) 각각에 대해 제 2, 제 3, 제 4 및 제 5 전력 비(212, 213, 214, 215)로 선택하는 것을 허용하는 유저 인터페이스를 제공한다.
온도 입력부(220)는 실시간으로 스테이지(20)의 중앙 영역(41)의 현재 온도를 얻기 위하여 열전쌍(70)의 신호 라인(72)으로 전기적으로 연결된다. 그리고나서 온도 입력부(220)는 이러한 현재 온도(221)를 피드백 제어 회로(230)로 통과시킨다. 본 기술분야의 기술자에게 익숙한 방식으로, 피드백 제어 회로(230)는 입력으로서 현재 온도(221) 및 타깃 온도(211)를 수용하여 가열 전력 제어 출력(231)을 발생한다. 가열 전력 제어 출력(231)의 목적은 제 1 저항 가열기(51)로 전달되는 전력을 제어하여 열전쌍(70)에 의해 측정되는 중앙 영역(41)의 온도가 가능한 근접하게 타깃 온도(211)를 따라간다. 피드백 제어 회로(230)는 본 기술분야에서 공지 된 어떠한 적절한 피드백 제어 방법을 적용하도록 설계될 수 있다.
전원(240)은 가열 장치(10)에서 저항 가열 요소(51, 52, 53, 54, 55)에 개별적으로 동력을 인가하기 위해 필요한 전력을 제공한다. 전원(240)은 제 1 전력 라인(61)에 전기적으로 연결되어 제 1 가열 요소(51)에 전력을 제공하여 중앙 영역(41)을 가열시키는 제 1 전력 출력부(241)를 포함한다. 유사하게, 전원(240)은, 제 2, 제 3, 제 4 및 제 5 구역(42, 43, 44 및 45)을 가열시키기 위하여 제 2, 제 3, 제 4, 제 5 전력 라인(62, 63, 64 및 65)에 각각 전기적으로 연결되는, 제 2, 제 3, 제 4 및 제 5 전력 출력부(242, 243, 244 및 245)를 포함한다.
제 1 전력 출력부(241)는 입력으로서 피드백 제어 회로(230)로부터 가열 전력 제어 출력(231)을 수용하며, 가열 전력 제어 출력은 아날로그 또는 디지털 신호일 수 있으며, 반응하여 제 1 전력 라인(61)에 대응하는 전력을 제공한다. 따라서, 제 1 전력 출력부(241)에 의해 제 1 저항 가열기(51)에 제공되는 전력은 피드백 제어 회로(230)에 의해 발생되는 가열 전력 제어 출력(231)에 직접 관련된다.
제 2 전력 출력부(242)는 입력으로서 피드백 제어 회로(230)로부터 가열 전력 제어 출력(231), 및 유저 I/O 회로(210)로부터 제 2 가열기 전력 비(212)를 수용한다. 반응하여, 제 2 전력 출력부(242)는 제 2 전력 라인(62)에 전력을 제공하여 제 2 전력 라인(62) 상의 전력의 비율에 대한 제 1 전력 라인(61) 상의 전력 비가 제 2 가열기 전력 비(212)와 동일하도록 한다. 따라서, 제 2 전력 출력부(242)에 의해 제 2 저항 가열기(52)에 제공되는 전력이 제 2 가열기 전력 비(212)에 의해 곱해지는(또는 나누어지는) 제 1 전력 라인(61)에 제공되는 전력과 동일하다. 유사하게, 제 3, 제 4 및 제 5 전력 출력부(243, 244 및 245)에 의해 제 3, 제 4 및 제 5 저항 가열기(53, 54 및 55)에 제공되는 전력은 각각 제 3, 제 4 또는 제 5 가열기 전력 비(213, 214 및 215)에 의해 곱해지는(또는 나누어지는) 제 1 전력 라인(61)에 제공되는 전력과 동일하다. 결과적으로, 중앙 영역(41)에 제공되는 전력에 대한 구역(42, 43, 44, 45)에 제공되는 가열 전력의 개별 제어는 전력 비(212, 213, 214, 215)를 각각 조절함으로써 가능하여 구역(42, 43, 44 및 45)의 가열 특성의 변화가 서로 및 중앙 영역(41)에 대해 개별적으로 보상된다. 물론, 전원(240)에 대한 다른 설계가 가능하며, 어떠한 설계가 선택되는지, 전원(240)은 외부 영역 구역(42 내지 45)의 각각의 전력 비(212 내지 215) 및 중앙 영역(41)으로 공급되는 전력을 기초로 하여 각각의 외부 영역 구역(42 내지 45)의 가열 전력을 개별적으로 제어하여야 한다.
스테이지(20)의 외부 영역(49)을 중앙 영역(41)을 둘러싸는 다수의 영역(42 내지 45)으로 분리함으로써, 그리고 중앙 영역(41)에 제공되는 가열 전력에 대한 각각의 가열기 전력 비(212 내지 215)를 각각의 외부 영역 구역(42 내지 45)에 제공함으로써, 본 가열 시스템은 상이한 가열 장치(10)의 가열 특성의 변화에 대한 보상을 제공하고 CVD 챔버(100) 자체의 가열 특성의 변화에 대한 보상을 추가로 제공하는 것이 가능하다. 가열기 전력 비(212 내지 215)에 대해 적절한 값을 제공함으로써, 일치하는 가열 패턴이 서셉터(24)를 가로질러 제공될 수 있어, 공정 반복가능성을 강화한다.
측정 절차는 어떠한 원하는 타깃 온도(211)에서 적절한 가열기 전력 비(212 내지 215)를 결정하도록 특별한 CVD 챔버(100) 내의 개별 가열 장치(10)에 대해 수행될 수 있다. 도 1 내지 도 6을 참조하면, 이를 수행하는데 하나의 가능한 방법은 모든 가열기 전력 비(212 내지 215)를 1.0과 같은, 기정값, 또는 초기 측정 단계로부터 얻은 값으로 초기에 설정하는 것이다. 그리고나서, 테스트 웨이퍼(301)는 가열 장치(10)의 서셉터(24) 상으로 배치될 수 있어, 중앙 영역(41)이 원하는 타깃 온도(211)로 가열될 수 있다. 후속적으로, 개별 온도 측정은 예를 들면, 각각의 구역(42 내지 45)에 부착되는 열전쌍의 이용에 의해, 또는 하나 또는 그 이상의 고온계로, 웨이퍼(301) 상의 외부 영역 구역(42 내지 45)의 각각에서 이루어질 수 있다. 유저 I/O 회로(210)에 의해, 전체 웨이퍼(301)가 원하는 공정을 위해 최적으로 가능한 가열 패턴을 달성할 때 까지 가열기 전력 비(212 내지 215)는 조정될 수 있으며, 피드백 제어 회로(230)는 제어 영역(41)을 타깃 온도(211)로 유지할 수 있다. 결과적인 가열기 전력 비(212 내지 215)는 상기 타깃 온도(211)로 제조 작동에서 후속적으로 이용될 수 있다.
물론, 가열기 전력 비(212 내지 215)는 일정한 값일 필요가 없다. 반대로, 가열기 전력 비(212 내지 215)는 타깃 온도(211)의 함수로서 변할 수 있으며, 결론적으로, 전체 측정 절차가 각각의 예비 결정된 온도에서 가열기 전력 비(212 내지 215)의 세트를 얻도록 예비 결정된 온도에서 일련의 개별 측정 단계를 포함할 수 있다. 이때 보간법이 예비 결정된 온도들 사이에 있는 타킷 온도(211)에서 가열기 전력 비(212 내지 215)를 결정하기 위하여 이용될 수 있다.
가열 장치(10)를 제어하기 위한 제어 시스템이 다수의 온도 센서를 포함할 수 있다. 각각의 온도 센서는 단일 영역 또는 구역 또는 스테이지의 온도를 측정할 수 있다. 온도 센서는 열전쌍, 고온계 또는 다른 적절한 온도 감지 장치를 포함할 수 있다. 상이한 타입의 온도 센서의 조합 또한 이용될 수 있다.
비록 본 명세서에서 본 발명이 특별한 실시예들을 참조하여 설명되었지만, 이러한 실시예들이 단지 본 발명의 원리 및 적용을 설명하기 위한 것으로 이해하여야 한다. 본 발명의 사상 및 범위로부터 이탈하지 않고 본 발명의 방법, 장치 및 시스템에 대해 다양한 변형 및 변화가 이루어질 수 있다는 것이 본 기술분야의 기술자에게 명백하다. 예를 들면, 바디 또는 스테이지의 외부 영역은 단지 4개의 구역으로 나누어지지 않을 수 있지만, 하나 이상의 어떠한 개수의 구역으로도 나누어질 수 있다. 일부 실시예에서, 이러한 각각의 구역에는 각각의 가열 전력 비가 제공될 수 있다. 또한, 저항 가열기 구역은 서로 중첩될 수 있다. 다양한 가열 요소는 상부 영역, 바닥 영역에 있을 수 있으며, 스테이지의 바디 내에 매립될 수 있다. 띠형 온도 측정(zonal temperature measurement)이 다중 온도 측정 장치(열전쌍, 고온계, 등)를 이용함으로써 제공될 수 있다. 따라서, 본 발명은 첨부된 청구범위 이들의 균등물의 범위 내에 있는 변형 및 변화를 포함하는 것으로 의도된다.
본 발명은 CVD 챔버와 결합되는 반응기와 같은, 고온 증착 시스템에서의 공정 반복가능성을 강화하도록 가열 불규칙성에 대한 보상을 제공할 수 있는 저항 가열기를 제공한다.
도 1은 일 실시예에 따른 가열 장치를 구비한 CVD 시스템의 단면도.
도 2는 도 1에 도시된 가열 장치의 상부 사시도.
도 3은 도 1에 도시된 가열 장치의 저면 사시도.
도 4는 도 1에 도시된 가열 장치의 부분 단면도.
도 5는 도 1에 도시된 가열 장치용 제어 시스템의 도면.
도 6은 점선으로 도시되는 장치의 가열 영역 및 그 위에 배치되는 기판을 도시하는 도 1에 도시된 도면에 따른 가열 장치의 상부 사시도.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 가열 장치 20 : 스테이지
21 : 바디 22 : 상부면
30 : 샤프트 100 : 반응 챔버
105 : CVD 시스템 110 : 챔버 바디

Claims (15)

  1. 기판을 지지하기 위한 영역을 가지는 표면 및 바디를 포함하는 스테이지,
    상기 스테이지에 결합되는 샤프트,
    상기 스테이지의 바디의 중앙 영역 내에 배치되는 제 1 가열 요소, 및
    상기 스테이지의 바디 내에 배치되는 적어도 제 2 및 제 3 가열 요소를 포함하며,
    상기 적어도 제 2 및 제 3 가열 요소는 각각 상기 제 1 가열 요소를 부분적으로 둘러싸고, 상기 적어도 제 2 및 제 3 가열 요소는 서로 주변이 인접하며,
    상기 제 1 가열 요소는 상기 바디의 상부면 또는 바닥면 중 하나에 인접하게 배치되며 상기 제 2 및 제 3 가열 요소는 상기 상부면 또는 바닥면 중 나머지 표면에 인접하게 배치되는,
    다중 구역 저항 가열 장치.
  2. 제 1 항에 있어서,
    상기 가열 요소의 각각의 온도를 제어하기 위한 단 하나의 온도 측정 장치를 더 포함하며, 상기 온도 측정 장치는 상기 바디의 중앙 영역에 열적으로 연결되어 있는,
    다중 구역 저항 가열 장치.
  3. 제 1 항에 있어서,
    적어도 제 4 및 제 5 가열 요소를 더 포함하며, 상기 제 2, 제 3, 제 4 및 제 5 가열 요소는, 각각 상기 제 1 가열 요소를 부분적으로 둘러싸는, 실질적으로 주변이 인접한 사분체를 형성하는,
    다중 구역 저항 가열 장치.
  4. 제 3 항에 있어서,
    상기 제 1 가열 요소는 상기 바디의 상부면 또는 바닥면 중 하나에 인접하게 배치되며, 상기 제 2, 제 3, 제 4 및 제 5 가열 요소는 상기 바디의 상부면 또는 바닥면 중 다른 하나에 인접하게 배치되는,
    다중 구역 저항 가열 장치.
  5. 제 1 항에 있어서,
    상기 스테이지는 약 750℃를 초과하는 온도를 견디도록 적용되는 재료로 이루어지는,
    다중 구역 저항 가열 장치.
  6. 제 5 항에 있어서,
    상기 스테이지는 알루미늄 질화물을 포함하는,
    다중 구역 저항 가열 장치.
  7. 제 1 항의 다중 구역 저항 가열 장치와 결합되는 반응기로서,
    상기 반응기는 상기 기판상에 막을 형성하기 위해 적용되는 챔버를 포함하며 상기 다중 구역 저항 가열 장치는 상기 챔버 내에 위치하는,
    다중 구역 저항 가열 장치와 결합되는 반응기.
  8. 제 4 항의 다중 구역 저항 가열 장치와 결합되는 반응기로서,
    상기 반응기는 상기 기판상에 막을 형성하기 위해 적용되는 챔버를 포함하고 상기 다중 구역 저항 가열 장치는 상기 챔버 내에 위치하는,
    다중 구역 저항 가열 장치와 결합되는 반응기.
  9. 기판을 지지하기 위한 영역을 구비한 표면을 가지는 스테이지 및 바디, 상기 스테이지에 연결되는 샤프트, 상기 스테이지의 제 1 영역을 가열하기 위해 상기 스테이지의 바디의 중앙 영역 내에 배치되는 제 1 가열 요소, 및 상기 스테이지의 제 2 및 제 3 영역을 각각 가열하기 위해 상기 스테이지의 바디 내에 배치되는 적어도 제 2 및 제 3 가열 요소를 포함하는 저항 가열기로서, 상기 적어도 제 2 및 제 3 가열 요소 각각이 상기 제 1 가열 요소를 부분적으로 둘러싸며 상기 적어도 제 2 및 제 3 가열 요소가 서로 주변이 인접하는, 저항 가열기,
    상기 스테이지의 중앙 구역의 온도를 측정하기 위한 온도 센서,
    상기 제 1, 제 2 및 제 3 가열 요소에 전력을 각각 제공하기 위한 적어도 제 1, 제 2 및 제 3 전원, 및
    상기 제 1, 제 2 및 제 3 전원을 제어하기 위한 제어 시스템을 포함하며,
    상기 온도 센서는 단지 상기 저항 가열기의 온도를 측정하기 위해 사용되는 온도 센서이며 상기 제어 시스템은 상기 온도 센서로부터의 출력 및 상기 제 2 및 제 1 가열 요소로의 전력의 전력비 및 상기 제 3 및 제 1 가열 요소로의 전력의 전력비의 알고리즘에 따라 상기 온도를 제어하며,
    상기 제 1 가열 요소는 상기 저항 가열기의 바디의 상부면 또는 바닥면에 인접하게 배치되며, 상기 제 2, 및 제 3 가열 요소는 상기 저항 가열기의 바디의 상부면 또는 바닥면 중 나머지 표면에 인접하게 배치되는,
    화학 증착 장치용 가열 시스템.
  10. 제 9 항에 있어서,
    적어도 제 4 및 제 5 가열 요소를 더 포함하며, 상기 제 2, 제 3, 제 4 및 제 5 가열 요소는 실질적으로 주변이 인접한 사분체를 형성하며, 상기 사분체는 상기 제 1 가열 요소를 부분적으로 둘러싸며, 적어도 제 4 및 제 5 전원은 적어도 제 4 및 제 5 가열 요소로 각각 전력을 제공하기 위해 상기 제어 시스템에 의해 제어되는,
    화학 증착 장치용 가열 시스템.
  11. 제 9 항에 있어서,
    상기 온도 센서는 상기 샤프트를 통하여 연장하는 하나 이상의 리드를 가지는 상기 스테이지의 바디의 중앙 영역 내에 배치되는 열전쌍인,
    화학 증착 장치용 가열 시스템.
  12. 저항 가열 요소의 일 부분을 중앙 영역 및 두 개 이상의 외부 영역으로 나누는 단계로서, 각각의 외부 영역은 단지 상기 중앙 영역을 부분적으로 둘러싸는, 단계,
    상기 중앙 영역에 대한 각각의 전력 비를 상기 각각의 외부 영역에 제공하는 단계,
    상기 중앙 영역 만의 온도를 측정하는 단계,
    상기 측정 온도에 따라 상기 중앙 영역으로 가열 전력을 제공하는 단계, 및
    상기 중앙 영역으로 전달되는 가열 전력 및 상기 외부 영역의 각각의 전력 비에 따라 각각의 외부 영역에 전달되는 가열 전력을 제공하는 단계를 포함하며,
    상기 가열 요소는 상부면 및 바닥면을 가지며, 상기 중앙 영역 또는 2개의 외부 영역들 중 하나 이상이 상기 상부면에 위치하고 상기 중앙 영역 또는 2개의 외부 영역들 중 나머지가 바닥면에 위치하는,
    기판의 저항 가열 방법.
  13. 제 12 항에 있어서,
    상기 전력 비를 얻기 위해 측정 절차를 수행하는 단계를 더 포함하는,
    기판의 저항 가열 방법.
  14. 제 12 항에 있어서,
    상기 가열면을 4개 이상의 외부 영역으로 분리하는 단계를 더 포함하며, 각각의 외부 영역은 상기 중앙 영역을 부분적으로 둘러싸는,
    기판의 저항 가열 방법.
  15. 제 12 항에 있어서,
    상기 각각의 외부 영역은 또 다른 외부 영역과 주변이 인접하는,
    기판의 저항 가열 방법.
KR1020090028015A 2005-12-01 2009-04-01 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법 KR20090052837A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/293,626 2005-12-01
US11/293,626 US20070125762A1 (en) 2005-12-01 2005-12-01 Multi-zone resistive heater

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060118960A Division KR20070057669A (ko) 2005-12-01 2006-11-29 다중 구역 저항 가열기

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020130034446A Division KR20130050321A (ko) 2005-12-01 2013-03-29 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법

Publications (1)

Publication Number Publication Date
KR20090052837A true KR20090052837A (ko) 2009-05-26

Family

ID=38117685

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020060118960A KR20070057669A (ko) 2005-12-01 2006-11-29 다중 구역 저항 가열기
KR1020090028015A KR20090052837A (ko) 2005-12-01 2009-04-01 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법
KR1020130034446A KR20130050321A (ko) 2005-12-01 2013-03-29 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법
KR1020140103134A KR20140103246A (ko) 2005-12-01 2014-08-11 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법
KR1020160047441A KR101781032B1 (ko) 2005-12-01 2016-04-19 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060118960A KR20070057669A (ko) 2005-12-01 2006-11-29 다중 구역 저항 가열기

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020130034446A KR20130050321A (ko) 2005-12-01 2013-03-29 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법
KR1020140103134A KR20140103246A (ko) 2005-12-01 2014-08-11 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법
KR1020160047441A KR101781032B1 (ko) 2005-12-01 2016-04-19 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법

Country Status (4)

Country Link
US (1) US20070125762A1 (ko)
KR (5) KR20070057669A (ko)
CN (1) CN1990908A (ko)
TW (1) TWI406323B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10058952B2 (en) 2015-11-18 2018-08-28 Samsung Electronics Co., Ltd. Bonding stage and bonding apparatus comprising the same

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8073316B2 (en) * 2008-01-31 2011-12-06 Kabushiki Kaisha Toshiba Oven for semiconductor wafer
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
CN101660143B (zh) * 2008-08-28 2011-08-17 北京北方微电子基地设备工艺研究中心有限责任公司 平板加热器及等离子体加工设备
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
WO2011081645A2 (en) 2009-12-15 2011-07-07 Lam Research Corporation Adjusting substrate temperature to improve cd uniformity
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP4980461B1 (ja) * 2010-12-24 2012-07-18 三井造船株式会社 誘導加熱装置
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
KR101353679B1 (ko) * 2012-05-04 2014-01-21 재단법인 포항산업과학연구원 대구경 단결정 성장장치 및 이를 이용하는 성장방법
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
DE102013109155A1 (de) * 2013-08-23 2015-02-26 Aixtron Se Substratbehandlungsvorrichtung
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JPWO2019008889A1 (ja) * 2017-07-07 2020-05-21 住友電気工業株式会社 半導体基板加熱用の基板載置台
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107845589A (zh) * 2017-10-27 2018-03-27 德淮半导体有限公司 加热基座以及半导体加工设备
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11562913B2 (en) 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CA204060S (en) * 2020-12-08 2023-01-03 Bromic Pty Ltd Heater
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6617553B2 (en) * 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
JP4744016B2 (ja) 2001-06-29 2011-08-10 京セラ株式会社 セラミックヒータの製造方法
JP3856293B2 (ja) * 2001-10-17 2006-12-13 日本碍子株式会社 加熱装置
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
JP4761723B2 (ja) * 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10058952B2 (en) 2015-11-18 2018-08-28 Samsung Electronics Co., Ltd. Bonding stage and bonding apparatus comprising the same

Also Published As

Publication number Publication date
US20070125762A1 (en) 2007-06-07
CN1990908A (zh) 2007-07-04
KR20160048743A (ko) 2016-05-04
KR20070057669A (ko) 2007-06-07
KR20140103246A (ko) 2014-08-26
TWI406323B (zh) 2013-08-21
KR20130050321A (ko) 2013-05-15
KR101781032B1 (ko) 2017-10-23
TW200723370A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
KR101781032B1 (ko) 다중 구역 저항 가열 장치, 상기 다중 구역 저항 가열 장치와 결합되는 반응기, 화학 기상 증착 장치용 가열 시스템, 및 기판의 저항 가열 방법
KR102627235B1 (ko) 반응 챔버들을 열적 교정하는 방법들
US9892941B2 (en) Multi-zone resistive heater
EP2370996B1 (en) Thermocouple
US7952049B2 (en) Method for multi-step temperature control of a substrate
US9297705B2 (en) Smart temperature measuring device
KR100708568B1 (ko) 기판 가열 장치
US6924463B2 (en) Pyrometer calibrated wafer temperature estimator
JP4495340B2 (ja) ウェーハ温度ランピング中でのウェーハの放射状温度勾配制御方法および装置
JP4931376B2 (ja) 基板加熱装置
JP2001257169A (ja) 加工室の温度制御方法、半導体加工装置及びセンサ較正方法
US5998767A (en) Apparatus for processing a substrate wafer and method for operating same
KR100615763B1 (ko) 열처리 장치의 온도 교정 방법
WO1998051843A1 (en) A method and apparatus for achieving temperature uniformity of a substrate
US9846084B2 (en) Vacuum heat treatment apparatus
JPH06204143A (ja) Cvd装置
JP2008141071A (ja) 基板の熱処理装置
JPH02298829A (ja) 熱処理装置
JPH03252127A (ja) 気相成長装置の温度制御方法
CN116046214A (zh) 一种化学气相沉积装置的温度校准和控制方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20130227

Effective date: 20130902

WITB Written withdrawal of application