JP5011550B2 - 紫外線(uv)、及びプラズマ励起有機金属化学気相成長(mocvd)方法 - Google Patents

紫外線(uv)、及びプラズマ励起有機金属化学気相成長(mocvd)方法 Download PDF

Info

Publication number
JP5011550B2
JP5011550B2 JP2006514976A JP2006514976A JP5011550B2 JP 5011550 B2 JP5011550 B2 JP 5011550B2 JP 2006514976 A JP2006514976 A JP 2006514976A JP 2006514976 A JP2006514976 A JP 2006514976A JP 5011550 B2 JP5011550 B2 JP 5011550B2
Authority
JP
Japan
Prior art keywords
mocvd
energy
substrate
energy source
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006514976A
Other languages
English (en)
Other versions
JP2006526708A (ja
Inventor
セルバマニカム ベンカト
リー ヒーギョウン
Original Assignee
スーパーパワー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by スーパーパワー インコーポレイテッド filed Critical スーパーパワー インコーポレイテッド
Publication of JP2006526708A publication Critical patent/JP2006526708A/ja
Application granted granted Critical
Publication of JP5011550B2 publication Critical patent/JP5011550B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/02Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a matt or rough surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0296Processes for depositing or forming copper oxide superconductor layers
    • H10N60/0436Processes for depositing or forming copper oxide superconductor layers by chemical vapour deposition [CVD]
    • H10N60/0464Processes for depositing or forming copper oxide superconductor layers by chemical vapour deposition [CVD] by metalloorganic chemical vapour deposition [MOCVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

本発明は、高温超伝導(HTS)被覆ワイヤの、高スループットの有機金属化学気相成長法(MOCVD)に関するものである。さらに詳細には、本発明は、化学気相成長(CVD)を励起をするために、紫外線(UV)あるいはマイクロ波光源放射を組み込んだMOCVDシステムに関する。
過去30年において、米国の最終エネルギー消費の電力は、25%から40%に上昇した。この上昇を考慮すると、電力需要は、次第に信頼性の高い、上質な電力の危機的な要求となる。電力需要は成長を続けるにつれ、特に旧都市部の電力システムは性能限界に至っており、新しい解決法を要求されている。
ワイヤは、変圧器、伝送及び流通システム、モータを含む世界の電力システムの基本構成要素を形成する。1986年の革命的なHTS複合物の発見は、電力産業の全く新しいタイプのワイヤの発展に導き、この発見は、1世紀以上における、ワイヤ技術の最も重要な進歩である。
HTSワイヤは、同じ物理的寸法の従来の銅及びアルミニウム導線の100倍以上の電流を流す最高の性能を提供する。HTSワイヤの優れた電力密度は、次世代の電力産業技術を可能にする。それは、大きな規模、重要性、また効率的な利益を提供する。HTS技術は、種々の方法で、コストを下げ、電力システムの容量と信頼性を増加する。例えば、HTSワイヤは、既存の通行路を通って2〜5倍多くの電力を送信することができる。この新しいケーブルは、その環境足跡を削減すると同時に電力網の性能を改良する強力なツールを提供する。しかしながら、今日まで、次世代HTSワイヤの製造で用いられる前記HTSコーティングテープの短いサンプルのみが、高性能レベルで製造されている。HTS技術が、発電および流通産業での使用に向け、商業的に成長するために、HTSコーティングのテープの連続的な、高いスループットの技術を発展させる必要がある。
MOCVDは、HTSコーティングのテープをコスト効率よく生産するために必要な高いスループットの期待を示す堆積プロセスである。MOCVDの間、酸化イットリウムバリウム銅(YBa2Cu37、或いはYBCO)などのHTS膜は、気質の表面に起こる化学反応を介して、不活性ガスによって運ばれた気相前駆体によって、加熱された緩衝金属基板へ堆積される。
1998年10月13日付の、Hubertらの米国特許USP5,820,678(名称、“固体ソースMOCVDシステム”は、多要素化学気相成長の有機金属前駆体を供給する配送システムを含む、超伝導および非超伝導酸化膜をMOCVD製作するためのシステムを記述している。前記前駆体は、所望の速度で研磨され、蒸発域へ供給され、その後、薄膜形成のための堆積チャンバー内の反応域へ供給される。しかしながら、Hubertのプロセスにより達成できるスループットは、非常に限定される。有機金属化学気相成長が起こる基板は、例えば温度伝導性ペーストで、堆積プロセスを通して基板ホルダに固定して取り付けられる。結果として、不連続な堆積作業は、MOCVDのHubertのプロセスを特徴づけ、そのようなプロセスを通して達成できる生産を非常に限定する。
MOCVDプロセスの堆積能率を増大するための試みがなされてきた。2001年9月18日付の、TompaのUSP 6,289,842(名称、“プラズマ励起化学気相成長システム”)は、不連続なウエハーコーティングシステムの堆積プロセスをよくするrfプラズマ生成システムを開示している。Hubert(USP 5,820,678)は、蒸気化した反応物質を注入する注入コーンの周りに包まれ、ガス混合物が堆積チャンバー内の反応ゾーンに到着するとき、rfプラズマを生成して化学反応を促進する、13.54MHzの発振器に接続されたコイルを提供している。
P.C.Chouらは、“Optimization of Jc of YBCO films prepared by photo-assisted MOCVD through statistical robust design”Physica C 254(1995)93-112において、光励起のDVDを用いて、イットリウム−バリウム−銅−酸化物(YBCO)膜の高堆積速度(毎分1ミクロン)の達成を開示している。Chouらは、二原子酸素大気及び広範囲の電磁波放射線(紫外線及び赤外線(IR)を含む)を放射するハロゲンランプを利用し、ハロゲンランプで基板(IR)を加熱し、且つ堆積ゾーンに入る前駆体(UV)を加熱して、その反応速度を速くするが、それは早期の前駆体分解をしばしば引き起こす。Chouらのプロセスは、拡張縮小可能でも、再生可能でもなく、拡張した長さの基板上の連続的な堆積に適していない;従って、この研究は、高いスループットのMOCVDプロセスに適合しない。
イットリウム安定ジルコニア薄膜のマイクロ波プラズマ化学気相成長法(PECVD)の研究は、B. Preauchatらにより、“Performances of microwave PECVD reactor or thin and thick oxide coatings at extremely high deposition rate”(第8回国際プラズマ表面工学会議の会報誌(2001)109-115)に公開されている。B.Preauchatのシステムは、石英壁で形成される堆積チャンバーを含む。これは、高温のガラス製品作業を要求し、長いワイヤの連続的な堆積のシステムを構成することを困難にする。
大規模なMOCVDシステムへのよりよいアプローチは、MOCVDチャンバーを通して、複数の緩衝金属基板テープを移動させるオープンリール巻き取りシステムを利用する。基板テープは、チャンバー壁のすき間を通って、前記MOCVDチャンバーに出入りして、並んで移動し、そこで薄膜堆積を受ける。放射基板放熱器及びシャワーヘッドは、複数の移動する基板テープ上で薄膜堆積を広範囲に適合させるように、広範囲の堆積ゾーンを作るため適切にサイズを調整されてもよい。広い堆積ゾーンに加えて、スループットに作用するその他の主な要因は前記MOCVDプロセスの薄膜成長率である。複雑な反応速度は、このようなプロセスで到達可能な薄膜成長率を広範囲にする。これらの複雑な反応速度を助長する要因は、室圧、基板温度、酸素含有量および堆積ゾーンへの導入方法、前記堆積ゾーンに供給されている前駆体の量(前記前駆モル濃度と、前記シャワーヘッド組み立て部を通る前駆体蒸気およびその不活性キャリアガスの質量流量とによって決定される)、前記前駆体が前記堆積ゾーンへのその導入の前に保持されているときの温度、前記堆積ゾーン外への反応副産物の廃棄能率を含む。
従って、本発明の目的は、前記堆積ゾーンでエネルギー源を利用して反応速度を強化することによって、スループットが改善された連続的なMOCVDシステムを提供することである。
従って、本発明の目的は、前記堆積ゾーンでUV或いはマイクロ波エネルギー源を利用して反応速度を強化することによってスループットが改善された連続的なMOCVDシステムを提供することである。
従って、本発明の目的は、超伝導薄膜の堆積の間、前駆体の強化された利用効率を有する、スループットが改善された連続的なMOCVDシステムを提供することである。
本発明の別の目的は、前記堆積ゾーン内の単原子酸素大気を供給して反応速度を強化することによって、スループットが改善された連続的なMOCVDシステムを提供することである。
米国特許第5,653,806号明細書 欧州特許出願公開第0334 374号明細書
YBCO薄膜を堆積する先行技術のプロセスに関する問題は、開示されたプロセスにおいて、複数の連続的に移動する金属基板表面に膜を堆積するために前記堆積ゾーンにシャワーヘッドを通して亜酸化窒素および二原子酸素を供給すると共に、前記MOCVD反応炉の前記堆積ゾーンで補助的エネルギー源を利用することによって、最小に抑えられる。
第1の実施形態において、本発明は、HTSでコーティングされたテープの製造のための高スループット、UV励起のMOCVDシステムである。本発明の前記UV励起MOCVDシステムは、前記堆積ゾーンを照射し、前記薄膜成長率を改良するUV光源を含む。前記MOCVDシステムはさらに、従来の二原子酸素(O2)とは対照的に単原子酸素(O)を利用して、反応速度を最適化し、これにより前記薄膜成長率が増加する。
本発明は、このような高スループットの連続的なMOCVDシステムを特徴付ける拡張した堆積実行の間に生じる副産物を防ぐ、或いは除去する。薄膜の適切な成長に必要な前記堆積温度を低下する単原子酸素を用いて、前記金属基板と前記YBCO薄膜との間の望ましくないこれらの副産物を最小限に抑える。
図1は、本発明に従って、高スループットの、UV励起MOCVDシステム100を図示する。前記MOCVDシステム100は、ガスライン110と、気化器118を供給する液体前駆体配給ライン116を含む。ポンプ112は、液体前駆体配給ライン116に接続され、前駆体計器114は液体前駆体配給ライン116に沿って配置される。前記ガスライン110は、アルゴン或いは窒素などの不活性キャリアガスが通るチューブ或いはパイプである。前記液体前駆体配給ライン116は、前記ポンプ112と前記気化器118との間を、特殊な混合の溶剤と共に、イットリウム、バリウム、銅などの有機金属の前駆体を含む溶液が通るチューブ或いはパイプである。前記ポンプ112は、0.1と10ml/分との間の低流量にできる液体前駆体配給ポンプである。前記ポンプ112は、高圧液体クロマトグラフィー(HPLC)ポンプなどの、高圧の、低流量ポンプである。前記圧力計114は、気化器118へ入る前に液体前駆体溶液の出口圧力を監視する検知装置である。前記気化器118は、前記前駆体溶液がフラッシュ蒸発され、前駆体気化ライン120を介してシャワーヘッド126への配給の不活性キャリアガスと混ぜられる要素である。
亜酸化窒素(N2O)及び二原子酸素(O2)が通るチューブ或いはパイプであるライン122は、前記気化器118と前記シャワーヘッド126との間の前記前駆体蒸気化ライン120に通じている。前記シャワーヘッド126は、一定面積にわたって蒸気均一分布ができる技術において公知の要素であり、複数のボルト及びガスケットでそこに形成されたシールと共に固定された上下のフランジからなるステンレス鋼型枠を仮定してもよい。複数の開口部は、下フランジを通って機械加工され、一連の均等に置かれた品質のよい開口部として配置される。
その他の実施形態において、前記シャワーヘッド126は、例えば、YBCO及び酸化サマリウムバリウム銅(SmBa2Cu37或いは“Sm123”)などのその他の薄膜を持って、多層コーティングテープの堆積を可能にするよう、このような方法で複数の前駆体蒸気化ラインによって供給される複数の孤立した区画を含んでもよい。
MOCVDチャンバー124に格納されるものは、お互いに向き合って配置された前記シャワーヘッド126と基板ヒータ128であり、直接的にその間の空間に堆積ゾーンを作る。
一つ或いはそれ以上のテープ136は、前記堆積ゾーンを通して移動せられる。前記テープ136は、イットリア安定化ジルコニア(YSZ)及び/又は酸化セリウム(CeO2)などの緩衝層が2軸構成、例えば、(100)<001>立方構成で予め堆積されている場合、インコネルなどのステンレス鋼あるいはニッケル合金のような長さを変えることができる基質である。前記テープ136は、約900℃の温度に耐えられることができ、望ましい完成した製品及びシステム限定にあうよう変形してもよい。例えば、前記テープ136は、厚さ25μ、幅1cm、長さ100mであってもよい。
前記ヒータ128は、ランプなどの放射加熱体を介して、前記基板テープ136に対し、通常、約700から900℃の範囲で、熱を提供する公知の単数あるいは複数区域基板ヒータである。或いは、前記ヒータ128は、Kanthal或いはMoSi2などの発熱体を利用する電気抵抗ヒータである。前記MOCVDチャンバー124は、1Torrから50Torrの範囲、例えば30Torrの圧力で維持される冷水壁反応などのを、MOCVDプロセスが行われる場合、真空包装の堆積チャンバーである。
前記シャワーヘッド126及びヒータ128の規模によって規定された前記堆積ゾーンは、例えば、およそ2ミリ間隔に均等に並べた30個の移動するテープ136上で、堆積を可能にする。
前記MOCVDチャンバー124のすぐ外に配置されたものは、前記UV放射線134が前記堆積ゾーンに向けられるよう前記MOCVDチャンバー124内に配置された水晶板132を通してUV放射線134を放射するUV光源130である。前記UV光源130は、一つ或いはそれ以上のランプなどの要素が、望ましい172nmの波長のある約100nmから350nmの間の波長を持つUV放射線134を放射する内ハウジングを含む。前記UV光源130は、望ましい約10kWの電力のある約500Wから20kWの間の電力定格によって特徴付けられる。前記UV光源130は、前記シャワーヘッド126と前記ヒータ128との間に作られる前記堆積ゾーンに対応させて適切にサイズを調整され、結果として、前記堆積ゾーン全体を照射できる多くのランプを含んでもよい。前記水晶板132は、UV放射線134が低い吸収或いは反射で前記MOCVDチャンバー124へ送信される窓である。
さらに、複数の熱電温度計およびセンサ(図示せず)は、おそらく、前記MOCVDシステム100中の重要な位置に配置される。
前記MOCVDシステム100は、従来のMOCVDシステム以上に増加したスループットによって特徴付けられ、複数の移動するテープ136上への前記超伝導体YBCOあるいはその他のHTS膜の連続的堆積が可能である。動作において、前記ポンプ112は、イットリウム、バリウム、及び銅のテトラメチル・ヘプタンジオネイト化合物などの前記有機金属前駆体を含む室温で保存される液体を、テトラハイドロフランおよびイソプロパノールなどの適当な溶剤の混合と共に、前記液体前駆体配給ライン116を介して、前記気化器118へ配給する。前記バリウム化合物は、フェナントロリンなどの化合物で内転され、特に水分を含んだ大気の下で、長期的安定性を確保する。
前記気化器118への導入で、前記溶液は、230から300℃の間の温度で、望ましくは、適温240℃で、すぐにフラッシュ蒸発する。アルゴン或いは窒素などの不活性キャリアガスは前記ガスライン110を介して、気化器118に入り、有機金属前駆体蒸気と混合し、前記前駆体蒸気を、前記前駆体蒸気化ライン120を介して前記シャワーヘッド126へ配給する。
亜酸化窒素(N2O)及び二原子酸素(O2)はそののち、前記前駆体の早期分解を防止するよう、前記シャワーヘッド126に近いポイントで、前記気化器118と前記シャワーヘッド126との間の前記前駆体蒸気化ライン120へ流れ込むライン122を介して前記前駆体蒸気およびその不活性キャリアガスに導入され、これにより、前記前駆体蒸気化ライン120及び前記シャワーヘッド126内の膜蓄積の発生を削減する。前記亜酸化窒素、二原子酸素、及び不活性キャリアガスを持つ前駆体蒸気は、前記前駆体蒸気化ライン120を介して、前記MOCVDチャンバー124へ配給され、前記シャワーヘッド126へ到達すると、全堆積ゾーンに均一に注入される。
前記シャワーヘッド126及び前記ヒータ128は、例えば、それらの間を前記テープ136が通ることができる29mmの最適な距離でそれぞれ配置され、重要な熱および気相の配給を達成する。本発明の一つの実施形態において、シャッター(図示せず)が提供され、前記ヒータ128が、その最適な動作電力に上昇すると開く。前記テープ136が、前記堆積ゾーンを通して移動するとき、それらは基板ヒータ128による温度で、およそ780℃まで上昇する。前記熱されたテープ136が気相前駆体構成に触れると、YBCOの薄膜はそこに堆積し、前記有機物質が前記イットリウム、バリウム、および銅に一度結合した有機物質が分離し、CO2、H2O、NO2の形で前記堆積ゾーンの外へ噴出される。
前記堆積プロセスの間、前記UV光源130は、前記水晶板132を通して、前記堆積ゾーンを満たすUV放射線134を放射する。前記シャワーヘッド126を通して、前記前駆体蒸気およびその不活性キャリアガスと共に、前記堆積ゾーンへ注入される前記亜酸化窒素(N2O)および二原子酸素(O2)は、前記UV放射線134と反応し、一酸化窒素(NO)と単原子酸素(O)とに分解する。単原子酸素は、YBCO薄膜の形成のために、二原子酸素よりも効率的な反応ガスであるので、前記膜の製造が強化される。さらに、前記前駆体蒸気は、単に酸素と反応し、熱したテープ136に接触することと比較して、前記UV放射線134からのエネルギーを吸収し、より高いエネルギー状態へと励起されてより容易に分解し、前記テープ136の上に所望のYBCO薄膜を堆積する。

該プロセスは、完全に理解されていないが、前記堆積ゾーン内の単原子酸素大気と、前記堆積ゾーンをUV放射線134で溢れさせる結果としての前記前駆体蒸気の高エネルギー状態への励起との組み合わせは、より効果的な薄膜の成長を前記MOCVDシステム100に起こすことができる。さらに、前記堆積ゾーン内の単原子酸素大気を作るための前記UV光源130の利用は、薄膜堆積を、低基板温度で起こすことができ、低電力ヒータ128を可能にし、またさらに、前記金属基板とそこに配置されたYBCO薄膜との間で、高スループット、連続的なMOCVDプロセスを特徴付ける高温に達する延長した照射の下で起こり得る化学反応の可能性を削減する。
その他の実施形態において、高大気温度に耐えられるUVランプは、前記MOCVDチャンバー124内に取り付けられる。これらのランプを、前記シャワーヘッド126の長さに沿って並べ、その後に反射器を置き、前記テープ136上に前記UV放射線の焦点を合わせる。
図2は、図1に関して記載された同一の或いは同様の要素、ガスライン110、ポンプ112、圧力計器114、液体前駆体配給ライン116、気化器118、前駆体蒸気化ライン120、ライン122、MOCVDチャンバー124、シャワーヘッド126、ヒータ128、及びテープ134を含む、MOCVDシステム200を示す。
加えて、前記MOCVDシステム200は、前記UV光源130の場所にマイクロ波プラズマランチャー210を含む。マイクロ波放射線は、前記マイクロ波プラズマランチャー210で生成され、前記シャワーヘッド126の前のポイントで、前記前駆体蒸気化ライン120に導入される。前記マイクロ波プラズマランチャー210は、約100Wと20kWとの間の電力、好ましい電力は約10kWで、例えば2.45GHzの周波数によって特徴付けられるマイクロ波放射線の光源を含む。
動作において、マイクロ波が生成されたプラズマは、均一な混合が前記前駆体蒸気、その不活性キャリアガス、N2O及びO2、そして前記マイクロ波プラズマの間で起こる、このような方法において、前記マイクロ波プラズマランチャー210を介して前記前駆体蒸気化ライン120に導入される。前記前駆体蒸気およびその不活性キャリアガスと共に配給される前記N2O及びO2は、NOとOに分解し、これにより、反応速度を強化し、前記MOCVDシステム200内の薄膜成長率を改良する前記堆積ゾーン内の単原子酸素の大気を提供する。前記反応速度はさらに、前記マイクロ波プラズマによる前駆体蒸気の励起によって強化され、図1に関して記載された同様の理由で改善された薄膜成長率を生じる。
別の実施形態において、前記マイクロ波プラズマランチャー210はマイクロ波プラズマをMOCVDチャンバー124の壁に配置された窓を通して、前記MOCVDチャンバー124に直接的に注入され、これにより、前記堆積ゾーン内でプラズマエンベロープを生成する。しかしながら、この実施の形態では、より少ない均一の混合が、前記前駆体蒸気と前記マイクロ波プラズマの間で起こる。
本発明に従った紫外線励起のMOCVDシステム 本発明に従ったプラズマ励起のMOCVDシステム

Claims (14)

  1. 有機金属気相成長を用いて高温超伝導体テープの連続的な高スループット準備を行うためのプロセスであって、該プロセスは、
    単数あるいは複数の基板テープを設けること、
    前記基板テープをMOCVD反応炉通して進ませること、
    蒸気の形の超伝導前駆体化合物の源を設けること、該超伝導前駆体化合物は、有機金属前駆体を含む、
    前記蒸気の形の超伝導前駆体化合物を不活性キャリアガスと混合すること、
    前記蒸気化された前駆体化合物と不活性キャリアガスとの混合物を亜酸化窒素および二原子酸素と結合させること、
    前記基板テープを、前記MOCVDチャンバー内の、シャワーヘッドとそのシャワーヘッドの下に配置された基板ヒータとの間のスペースによって定義される堆積ゾーンを通して移動させること
    エネルギー源を設けること、ここで、該エネルギー源は、前記堆積ゾーンに対応する大きさに形成され、かつ、前記堆積ゾーンに紫外放射またはマイクロ波放射の形のエネルギーを投入するものであり、ここで、その提供されるエネルギーは、前記二原子酸素を反応させて単原子酸素を形成させ、前記有機金属前駆体分子を高エネルギー状態に励起させるのに十分である、
    前記結合されたガスと蒸気を、超伝導化合物を持つ前記前駆体蒸気が前記堆積ゾーンで前記基板の熱された表面に接触するよう、前記シャワーヘッドを通して前記MOCVD反応炉に導入すること、を備えるプロセスである。
  2. 請求項1のプロセスにおいて、前記エネルギーはUV放射線の形式である。
  3. 請求項1のプロセスにおいて、前記エネルギーはマイクロ波放射線の形式である。
  4. 請求項1のプロセスにおいて、前記エネルギー源は、前記MOCVD反応炉に外部から配置される。
  5. 請求項1のプロセスにおいて、前記エネルギー源は、前記MOCVD反応炉内に配置される。
  6. 請求項1のプロセスにおいて、前記エネルギー源は、約100nmから350nmの間の波長で放射線を放射する。
  7. 請求項1のプロセスにおいて、前記エネルギー源は約500wから20kwの間の電力定格を持つ。
  8. 請求項1のプロセスにおいて、前記基板ヒータは約700℃から950℃の温度に基板を加熱する。
  9. 請求項1のプロセスにおいて、前記MOCVD反応炉は冷壁反応炉である。
  10. 請求項1のプロセスにおいて、前記MOCVD反応炉内の圧力は1から50Torrの範囲内である。
  11. 請求項1のプロセスにおいて、前記基板は金属よりなり、それらの上に堆積された二軸バッファ層を有する。
  12. 請求項1のプロセスにおいて、前記エネルギー源は約2から約4GHzの範囲内の波長での放射線を生成する。
  13. 請求項1のプロセスにおいて、前記エネルギー源は約500wから20kwの間の電力を生成する。
  14. 請求項1のプロセスにおいて、前記エネルギー源は、UVエネルギー光源とマイクロ波エネルギー光源との組み合わせである。
JP2006514976A 2003-06-05 2004-05-25 紫外線(uv)、及びプラズマ励起有機金属化学気相成長(mocvd)方法 Expired - Lifetime JP5011550B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/456,732 2003-06-05
US10/456,732 US8512798B2 (en) 2003-06-05 2003-06-05 Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
PCT/US2004/016638 WO2004108978A2 (en) 2003-06-05 2004-05-25 Ultraviolet (uv) and plasma assisted metalorganic chemical vapor deposition (mocvd) system

Publications (2)

Publication Number Publication Date
JP2006526708A JP2006526708A (ja) 2006-11-24
JP5011550B2 true JP5011550B2 (ja) 2012-08-29

Family

ID=33490229

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006514976A Expired - Lifetime JP5011550B2 (ja) 2003-06-05 2004-05-25 紫外線(uv)、及びプラズマ励起有機金属化学気相成長(mocvd)方法

Country Status (7)

Country Link
US (1) US8512798B2 (ja)
EP (1) EP1638700B1 (ja)
JP (1) JP5011550B2 (ja)
KR (1) KR101088642B1 (ja)
CN (1) CN1798618B (ja)
CA (1) CA2527732C (ja)
WO (1) WO2004108978A2 (ja)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US8124170B1 (en) * 2004-01-23 2012-02-28 Metal Oxide Technologies, Inc Method for forming superconductor material on a tape substrate
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
CN101593669B (zh) * 2008-05-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011048914A (ja) * 2009-08-25 2011-03-10 Furukawa Electric Co Ltd:The 超電導線材及びその製造方法
KR101062463B1 (ko) 2009-12-14 2011-09-05 엘아이지에이디피 주식회사 금속유기물 화학기상증착장치 및 이를 이용한 금속유기물 화학기상증착방법
KR101062459B1 (ko) 2009-12-14 2011-09-05 엘아이지에이디피 주식회사 금속유기물 화학기상증착장치 및 이를 이용한 금속유기물 화학기상증착방법
US9694094B1 (en) 2010-01-08 2017-07-04 Tricia N. Wedding Ultraviolet plasma-shells
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN104272489A (zh) * 2012-04-13 2015-01-07 Oti领英有限公司 衬底的功能化
CN102618847A (zh) * 2012-04-26 2012-08-01 汉能科技有限公司 一种金属有机化学气相沉积反应系统
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9130113B2 (en) 2012-12-14 2015-09-08 Tsmc Solar Ltd. Method and apparatus for resistivity and transmittance optimization in TCO solar cell films
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
RU2015125595A (ru) * 2013-01-15 2017-02-21 Карл Алекс МЮЛЛЕР Быстрая твердофазная реакция оксидов с использованием ультрафиолетового излучения
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014200585A2 (en) 2013-03-15 2014-12-18 The University Of Houston System Methods and systems for fabricating high quality superconducting tapes
US9105799B2 (en) * 2013-06-10 2015-08-11 Tsmc Solar Ltd. Apparatus and method for producing solar cells using light treatment
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103603038B (zh) * 2013-12-10 2016-06-22 吉林大学 具有水平式多孔喷淋装置的光辅助mocvd反应器
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20170144888A1 (en) * 2015-11-23 2017-05-25 G-Force Nanotechnology Ltd. Method for growing graphene by chemical vapor deposition
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN106756867A (zh) * 2016-12-05 2017-05-31 河南科技学院 一种金属有机化学气相沉积反应系统
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR20210100083A (ko) * 2018-10-14 2021-08-13 메트옥스 테크놀로지스 인코포레이티드 열 형 결함이 없는 초전도체 플럭스 피닝
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20210122308A (ko) * 2019-02-25 2021-10-08 코닝 인코포레이티드 다중-샤워헤드 화학 증기 증착 반응기, 공정 및 제품
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
CN114645259B (zh) * 2020-12-21 2024-04-12 中国科学院宁波材料技术与工程研究所 紫外辅助mocvd生长超宽禁带半导体材料的方法及系统
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102275410B1 (ko) * 2021-03-12 2021-07-09 (주)마루엘앤씨 초전도 선재 제조를 위한 플라즈마 보조 반응성 동시 증착 시스템
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115572946A (zh) * 2022-09-16 2023-01-06 华为数字能源技术有限公司 一种钙钛矿的制备方法、制备设备及光电转换器

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910007384B1 (ko) * 1987-09-16 1991-09-25 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 초전도 산화물 형성방법 및 장치
JPH01104774A (ja) * 1987-10-14 1989-04-21 Matsushita Electric Ind Co Ltd 酸化物超伝導体薄膜の製造方法
JPH01242496A (ja) * 1988-03-24 1989-09-27 Mitsubishi Metal Corp 酸化物系超伝導薄膜の製造方法
CN1045658A (zh) 1989-03-16 1990-09-26 中国科学院上海冶金研究所 一种金属氧化物超导薄膜的制备方法
JPH0350193A (ja) 1989-07-18 1991-03-04 Oki Electric Ind Co Ltd 超電導薄膜の形成方法
JP2854623B2 (ja) * 1989-09-05 1999-02-03 株式会社東芝 酸化物超電導体薄膜の製造方法
US5447569A (en) * 1990-12-12 1995-09-05 Hiskes; Ronald MOCVD system for forming superconducting thin films
JPH0551748A (ja) * 1991-08-19 1993-03-02 Hoxan Corp 有機金属化学気相成長法による酸化物超伝導薄膜の作製方法
JPH07206592A (ja) 1993-12-29 1995-08-08 Toshiba Corp 酸化物薄膜の製造方法
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3354747B2 (ja) 1995-05-22 2002-12-09 株式会社フジクラ Cvd反応装置および酸化物超電導導体の製造方法
KR100228768B1 (ko) * 1996-10-02 1999-11-01 김영환 화학 기상증착 장치 및 증착방법
US6500489B1 (en) 1996-11-27 2002-12-31 Advanced Technology Materials, Inc. Low temperature CVD processes for preparing ferroelectric films using Bi alcoxides
US6066204A (en) * 1997-01-08 2000-05-23 Bandwidth Semiconductor, Llc High pressure MOCVD reactor system
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
AUPR515301A0 (en) * 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
US20040023810A1 (en) 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US20040016401A1 (en) * 2002-07-26 2004-01-29 Metal Oxide Technologies, Inc. Method and apparatus for forming superconductor material on a tape substrate

Also Published As

Publication number Publication date
US20040247779A1 (en) 2004-12-09
EP1638700A4 (en) 2007-03-21
EP1638700A2 (en) 2006-03-29
WO2004108978A2 (en) 2004-12-16
CA2527732A1 (en) 2004-12-16
JP2006526708A (ja) 2006-11-24
KR20060035612A (ko) 2006-04-26
CN1798618B (zh) 2013-09-04
CA2527732C (en) 2013-12-10
EP1638700B1 (en) 2013-07-17
US8512798B2 (en) 2013-08-20
WO2004108978A3 (en) 2005-05-12
KR101088642B1 (ko) 2011-12-02
CN1798618A (zh) 2006-07-05

Similar Documents

Publication Publication Date Title
JP5011550B2 (ja) 紫外線(uv)、及びプラズマ励起有機金属化学気相成長(mocvd)方法
US8227019B2 (en) High-throughput ex-situ method for rare-earth-barium-copper-oxide (REBCO) film growth
CN105144849B (zh) 环形等离子体处理装置
US8383210B2 (en) Method of forming a film by deposition from a plasma
US20120258863A1 (en) Metalorganic chemical vapor deposition (mocvd) process and apparatus to produce multi-layer high-temperature superconducting (hts) coated tape
US7387811B2 (en) Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US5976623A (en) Process for making composite films
US8182862B2 (en) Ion beam-assisted high-temperature superconductor (HTS) deposition for thick film tape
Loh et al. Diamond synthesis in supersonic direct-current arcjet plasma at subtorr pressures
Belmonte et al. Aluminium nitride synthesis by RPECVD
JP2004091809A (ja) Cvd用液体原料供給装置および酸化物超電導体の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120207

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120229

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120412

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120514

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5011550

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250