KR20060035612A - 자외선 및 플라즈마 보조식 유기 금속 화학적 기상 증착시스템 - Google Patents

자외선 및 플라즈마 보조식 유기 금속 화학적 기상 증착시스템 Download PDF

Info

Publication number
KR20060035612A
KR20060035612A KR1020057023341A KR20057023341A KR20060035612A KR 20060035612 A KR20060035612 A KR 20060035612A KR 1020057023341 A KR1020057023341 A KR 1020057023341A KR 20057023341 A KR20057023341 A KR 20057023341A KR 20060035612 A KR20060035612 A KR 20060035612A
Authority
KR
South Korea
Prior art keywords
mocvd
substrate
energy source
deposition
energy
Prior art date
Application number
KR1020057023341A
Other languages
English (en)
Other versions
KR101088642B1 (ko
Inventor
벤카트 셀바매닉캄
희권 이
Original Assignee
수퍼파워, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 수퍼파워, 인크. filed Critical 수퍼파워, 인크.
Publication of KR20060035612A publication Critical patent/KR20060035612A/ko
Application granted granted Critical
Publication of KR101088642B1 publication Critical patent/KR101088642B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/02Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a matt or rough surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0296Processes for depositing or forming copper oxide superconductor layers
    • H10N60/0436Processes for depositing or forming copper oxide superconductor layers by chemical vapour deposition [CVD]
    • H10N60/0464Processes for depositing or forming copper oxide superconductor layers by chemical vapour deposition [CVD] by metalloorganic chemical vapour deposition [MOCVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 발명은 HTS 코팅된 테이프의 제조를 위한 고처리량의 자외선(UV) 보조식 유기 금속 화학 기상 증착(MOCVD) 시스템이다. 본 발명의 UV 보조식 MOCVD 시스템은 증착 영역을 조사하여 박막 성장률을 향상시키는 UV 소스를 포함한다. MOCVD 시스템은 또한 전구체 증기의 여기를 향상시키고 종래의 2가 산소(O2)와 달리 1가 산소(O)를 이용함으로써, 반응 운동을 최적화시켜 박막 성장률을 증가시킨다. 변경예에 있어서, 마이크로파 플라즈마 인젝터가 UV 소스를 대체한다.
증착, 마이크로파 플라즈마, 인젝터, 박막 성장률, 전구체

Description

자외선 및 플라즈마 보조식 유기 금속 화학적 기상 증착 시스템{ULTRAVIOLET(UV) AND PLASMA ASSISTED METALORGANIC CHEMICAL VAPRO DEPOSTION(MOCVD) SYSTEM}
본 발명은 HTS(high-temperature superconducting) 코팅된 와이어의 처리량이 높은 MOCVD(metalorganic chemical vapor deposition)에 관한 것이다. 보다 구체적으로, 본 발명은 CVD(chemical vapor deposition)를 보조하기 위해서 자외선 또는 마이크로파 복사원을 통합한 MOCVD 시스템에 관한 것이다.
과거 30년간, 미국에서는 전기의 최종 용도의 에너지 소비가 25% 내지 40% 상승하였다. 이러한 상승에 따라, 전력 수요가 신뢰성이 높고 품질이 좋은 전력을 위해 점점 증가하는 중요한 요건이 되고 있다. 전력 수요가 계속 커짐에 따라, 특히 낙후된 도시 전력 시스템은 성능을 제한하게 되어 새로운 해법이 요구되고 있다.
와이어 형태는 트랜스포머(transformers)와, 전송 및 분배 시스템과, 모터를 비롯하여 전세계의 전력 시스템의 기본적인 구성요소를 구성한다. 1986년에 혁명적인 HTS 콤파운드의 발견은 전력 산업에 있어서 기본적인 새로운 타입의 와이어의 개발에 이르게 되었다. 이 발견은 100년 이상에 있어서 와이어 기법에서 가장 중 요한 진보이다.
HTS 와이어는 동일한 물리적 치수의 종래의 구리 및 알루미늄 도체가 수행하는 것보다 100배 이상의 전류를 운반하는 가장 뛰어난 성능을 제공한다. HTS의 우수한 전력 밀도는 신세대의 전력 산업 기법을 가능하게 한다. 이는 보다 많은 크기, 중량 및 효율 이익을 제공한다. HTS 기법은 다양한 방식으로 비용을 줄이고 전력 시스템의 용량과 신뢰성을 증가시킨다. 예컨대, HTS 와이어는 기존의 방식을 통해 2배 내지 5배의 전력을 전송할 수 있다. 이 신규한 케이블은 그 환경 점유 공간(footprint)을 감소시키면서 전력 격자(grids)의 성능을 개선시키는 데 유력한 수단을 제공한다. 그러나, 최근까지, 다음 세대의 HTS의 제조에 사용되는 HTS 코팅된 테이프의 짧은 샘플만이 고성능 수준으로 제조되어 왔다. HTS 기법이 전력 생산 및 분배 산업에서의 사용을 위해 상업적으로 실행 가능하게 되기 위해서는, HTS 코팅된 테이프의 연속적이고 고처리량의 생산 기법을 개발하는 것이 요구된다.
MOCVD는 비용 효율적으로 HTS 코팅된 테이프를 생산하는 데에 필요한 고처리량을 보증하는 증착 공정이다. MOCVD 동안, 이트륨-바륨-구리-산화물(YBa2Cu3O7 또는 YBCO) 등의 HTS 막은 기판의 표면에 발생하는 화학 반응을 통해 가열 버퍼링된 금속 기판으로 불활성 가스에 의해 운반된 기상 전구체에 의해 증착될 수 있다.
발명의 명칭이 “고체 소스 MOCVD 시스템”인 허버트(Hubert) 등의 미국 특허 제5,820,678호(1998년 10월 13일)는 멀티 컴포넌트 화학적 기상 증착 동안 유기 금속 전구체(metalorganic precursors)를 공급하는 운반 시스템을 포함하는 초전도 및 비초전도 산화막의 MOCVD 제조용 시스템을 개시하고 있다. 전구체는 원하는 비율로 연마되어 박막 증착용 증착 챔버 내에서 증발 영역으로 이어서 반응 영역으로 공급될 수 있다. 그러나, 허버트(Hubert) 등의 공정에 의해 달성될 수 있는 처리량은 극히 제한된다. MOCVD가 발생하는 기판은 증착 공정에 전반에 걸쳐, 예컨대 열전도성 페이스트에 의해 기판 홀더에 고정적으로 부착된다. 그 결과, MOCVD 허버트 등의 공정을 특징으로 하는 비연속적인 증착 작업은 그러한 공정을 통해 달성될 수 있는 수율(yields)을 매우 제한한다.
MOCVD 공정의 증착 효율을 증가시키는 시도가 이루어졌다. 발명의 명칭이 "플라즈마 강화된 화학적 기상 증착 시스템"인 톰파(tompa)의 미국 특허 제6,289,842호(2001년 9월 18일)는 비연속적인 웨이퍼 코팅 시스템에서 증착 공정을 향상시키는 RF 플라즈마 발생 시스템을 개시하고 있다. 허버트 등의 미국 특허 제5,802,678호는 가스 혼합기가 증착 챔버 내에서 반응 영역에 도달할 때, RF 플라즈마를 생성하고 화학 반응을 향상시키도로 증발된 반응제의 분사 콘(injection cone) 둘레를 둘러싼 13.54 MHz 발생기에 연결되는 코일을 제공한다.
피. 씨. 초우(P. C. Chou) 등의 “통계 강건 설계(robust design)를 통해 광 보조식 MOCVD에 의해 제조된 YBCO 막의 Jc의 최적화”(Physica C 254(1995) 93-112)는 광 보조식 CVD를 이용하여 YBCO(yttrium-barium-copper-oxide) 막의 높은 증착률(분당 1 미크론)을 달성하는 것을 개시하고 있다. 초우 등은 2가 산소 분위기 및 (UV 및 적외선(IR) 복사선을 비롯하여) 광범위한 전자기 복사선을 방출하는 할로겐 램프를 이용하고, 반응 운동을 향상시키도록 증착 영역으로 진입하는 전구 체(UV) 뿐만 아니라 기판(IR)을 가열하는 할로겐 램프에 의존하는데, 흔히 전구체가 조기에 분해된다. 초우 등의 공정은 확장되거나 재생될 수 없어, 길이가 연장된 기판 상에서 연속적인 증착에 적합하지 않다. 따라서, 상기 연구는 고처리량의 MOCVD 공정에 양립할 수 없다.
YSZ(yittrium-stabilized zirconia) 박막의 마이크로파 플라즈마 강화된 화학적 기상 증착 연구가 비. 프리아우차트(B. Preauchat) 등의 “매우 높은 증착률에서 마이크로파 PECVD 반응기 또는 얇고 두꺼운 산화물 코팅의 성능”(8차 국제 플라즈마 표면 공학 회의의 의사록, 2001, 109-115)에 의해 공표되었다. 프리아우차트 등의 시스템은 석영 벽에 의해 형성되는 증착 챔버를 포함한다. 이것은 높은 온도의 유리 제품 작업을 필요로 하고, 길이가 긴 와이어의 연속적인 증착 시스템을 제조하는 데에 어려움이 있다.
대규모 MOCVD 시스템을 향한 양호한 접근 방안은 MOCVD 챔버를 통해 복수 개의 버퍼링된 금속 기판 테이프를 병진시키는 릴 대 릴 스풀링 시스템(reel-to-reel spooling system)을 사용한다. 기판 테이프들은 나란히 병진하여, MOCVD 챔버 내외로 챔버벽의 슬릿을 통해 진입 및 배출되어 그 챔버 내에서 박막 증착을 받는다. 방사상 기판 히터와 샤워헤드(showerhead)는 다수의 병진 기판 테이프 상의 큰 영역에 걸쳐서 박막 증착을 수용하도록 큰 범위의 증착 영역을 대략 생성하는 크기일 수 있다. 큰 증착 영역 외에, 처리량에 영향을 미치는 다른 주요 인자는 MOCVD 공정에서 박막의 성장 속도이다. 복잡한 반응 운동은 그러한 공정에서 달성될 수 있는 박막의 성장 속도를 크게 좌우한다. 이 복잡한 반응 운동에 기여하는 인자로는 챔버 압력, 기판 온도, 산소 함량과 증착 영역으로의 그 도입 방법, (샤워 헤드 조립체를 통해 전구체 증기와 그 불활성 캐리어 가스의 질량 유량과 전구체 몰 농도(molarity)에 의해 결정되는) 증착 영역으로 공급되는 전구체의 양, 전구체가 증착 영역으로 도입되기 전에 유지되는 온도 및 증착 영역으로부터 멀어지는 반응 부산물의 배출 효율을 포함한다.
따라서, 본 발명의 목적은 증착 영역의 에너지 소스를 이용하여 반응 운동을 향상시킴으로써 개선된 처리량의 연속적인 MOCVD 시스템을 제공하는 것이다.
따라서, 본 발명의 목적은 증착 영역의 UV 또는 마이크로파 에너지 소스를 이용하여 반응 운동을 향상시킴으로써 개선된 처리량의 연속적인 MOCVD 시스템을 제공하는 것이다.
따라서, 본 발명의 목적은 초전도 박막의 증착 동안 전구체의 향상된 사용 효율을 갖는 개선된 처리량의 연속적인 MOCVD 시스템을 제공하는 것이다.
본 발명의 다른 목적은 증착 영역 내에 1가 산소(O) 분위기를 제공하여 반응 운동을 향상시킴으로써 개선된 처리량의 연속적인 MOCVD 시스템을 제공하는 것이다.
얇은 YBCO 막을 증착하는 종래 기술의 공정에 관련된 문제는 다중 연속 병진하는 금속 기판의 표면 상에 막을 증착하도록 샤워헤드를 통해 증착 영역으로 주입되는 아산화질소와 2가 산소의 제공과 결합되는 MOCVD 반응기의 증착 영역 내에 보조 에너지 소스를 이용함으로써 개시된 공정에서 최소화된다.
도 1은 본 발명에 따른 UV 보조식 MOCVD 시스템을 도시한다.
도 2는 본 발명에 따른 플라즈마 보조식 MOCVD 시스템을 도시한다.
주 실시예에 있어서, 본 발명은 HTS 코팅된 테이프를 제조하기 위한 고처리량의 UV 보조식 MOCVD 시스템이다. 본 발명의 UV 보조식 MOCVD 시스템은 증착 영역을 조사하고 박막 성장률을 개선시키는 UV 소스를 포함한다. MOCVD 시스템은 반응 운동을 최적화시켜 박막 성장률을 증가시키기 위해 종래의 2가 산소(O2)와 반대로 1가 산소(O)를 추가 사용한다.
본 발명은 그러한 고처리량의 연속적인 MOCVD 시스템을 특징으로 하는 연장된 증착 작업 중에 발생할 수 있는 부산물을 억제하거나 제거한다. 박막의 최적 성장에 필요한 증착 온도를 저하시키는 1가 산소를 이용하여, 금속 기판과 YBCO 박막 사이의 바람직하지 못한 이들 부산물을 최소화시킨다.
도 1은 본 발명에 따른 고처리량의 UV 보조식 MOCVD 시스템(100)을 도시한다. MOCVD 시스템(100)은 증발기(118)로 연결되는 가스 라인(110)과 액체 전구체 운반 라인(116)을 포함한다. 펌프(112)는 액체 전구체 운반 라인(116)에 연결되고, 압력 게이지(114)는 액체 전구체 운반 라인(116)을 따라 배치된다. 가스 라인(110)은 아르곤 또는 질소 등의 불활성 캐리어 가스가 통과하는 튜브 또는 파이프이다. 액체 전구체 운반 라인(116)은 적절한 용제 혼합물과 함께 이트륨 (yttrium), 바륨 및 구리 등의 유기 금속 전구체를 함유하는 용액이 펌프(112)와 증발기(118) 사이를 통과하는 튜브 또는 파이프이다. 펌프(112)는 0.1 내지 10 mL/min 사이의 낮은 유량이 가능한 액체 전구체 운반 펌프이다. 펌프(112)는 HPLC(high-pressure liquid chromatography) 펌프 등의 고압 저유량 펌프이다. 압력 게이지(114)는 증발기(118)로의 진입 전에 액체 전구체 용액의 운반 압력을 모니터링하는 감지 장치이다. 증발기(118)는 전구체 용액이 확 증발되고 샤워헤드(126)를 향한 전구체 증기 라인(120)을 통해 운반되는 불활성 캐리어 가스와 혼합되는 요소이다.
아산화질소(N2O)와 2가 산소(O2)가 통과하는 튜브 또는 파이프인 라인(122)은 증발기(118)와 샤워헤드(126) 사이의 전구체 증기 라인(122)으로 개방된다. 샤워헤드(126)는 당업계에 공지되어 있고 소정 영역에 걸쳐 증기의 균일한 분배가 가능하며, 복수 개의 볼트에 의해 함께 체결되는 상부 및 하부 플랜지와 가스킷에 의해 내부에 형성되는 시일(seal)로 구성되는 스테인레스강 형태를 취할 수 있다. 복수 개의 오리피스(orifices)는 하부 플랜지를 통해 기계 가공되어 일련의 균일하게 이격된 미세 개구로서 배치된다.
변형예에 있어서, 샤워헤드(126)는 예컨대, YBCO와 사마륨-바륨-구리-산화물(SmBa2Cu3O7 또는 “Sml23")의 박막이 교대로 있는 다층 코팅 테이프의 증착을 가능하게 하는 방식으로 다수의 전구체 증기 라인에 의해 급송되는 다수의 이격된 격실을 포함할 수 있다.
MOCVD 챔버(124) 내에는 서로에 대해 배치되어 그 사이의 직접적인 공간 영역에 증착 영역을 생성하는 샤워헤드(126)와 기판 히터(128)가 수용되어 있다.
하나 이상의 테이프(136)가 증착 영역을 통해 병진하도록 제조된다. 테이프(136)는 다양한 금속, 예컨대 스테인레스강 또는 인코넬(Inconel) 등의 니켈 합금으로 형성되는 가요성 길이의 기판이고, 그 위에는 양축 직물, 예컨대 (100)<001> 입방체 직물에 의해 YSZ(yttria-stabilized zirconia) 및/또는 산화세륨(CeO2) 등의 버퍼층이 미리 증착되어 있다. 테이프(136)는 약 900℃의 온도를 견딜 수 있고 원하는 최종품과 시스템 제한을 충족시키도록 변경될 수 있는 치수를 갖는다. 예컨대, 테이프(136)는 25 미크론의 두께, 1 cm의 폭 및 100 미터의 길이를 갖는다.
상기 히터(128)는 방사상 가열 요소, 예컨대 램프를 통해 기판 테이프(136)에 통상 약 700 내지 약 950℃ 범위의 열을 제공하는 널리 알려진 단일 또는 다수 영역의 기판 히터이다. 또한, 히터(128)는 칸달(Kanthal) 또는 MoSi2 등의 가열 요소를 사용하는 저항 히터이다. MOCVD 챔버(124)는 MOCVD 공정이 일어나는 진공 밀봉식 증착 챔버, 예컨대 1 Torr 내지 50 Torr, 예컨대 3.2 Torr 범위의 압력에서 유지될 수 있는 냉각벽 반응기이다.
샤워헤드(126)와 히터(128)의 치수에 의해 한정되는 증착 영역은, 예컨대 대략 2 mm 균일하게 이격된 30개의 병진 테이프(136) 상에 증착할 수 있다.
MOCVD 챔버(124) 외측에는 UV 복사선(134)이 증착 영역을 향하도록 MOCVD 챔버(124)의 측벽 내에 배치되는 석영판(132)을 통해 UV 복사선(134)을 방출하는 UV 소스(130)가 배치되어 있다. UV 소스(130)는 그 내부의 하나 이상의 램프 등의 요소가 약 100 nm 내지 350 nm의 파장, 172 nm의 바람직한 파장을 갖는 UV 복사선(134)을 방출하는 하우징을 포함한다. UV 소스(130)는 약 500 W 내지 20 kW에 달하는 전력, 약 10 kW의 바람직한 전력을 특징으로 한다. UV 소스(130)는 대략 샤워헤드(126)와 히터(128) 사이에 형성되는 증착 영역에 대응하는 크기이고, 그 결과 전체 증착 영역를 조사할 수 있는 다수의 램프를 포함할 수 있다. 석영판(132)은 UV 복사선(134)이 MOCVD 챔버(124)에 대해 낮은 흡수율 또는 반사율로 전송될 수 있는 윈도우이다.
또한, MOCVD 시스템(100) 전반에 걸쳐 중요한 지점에 복수 개의 열전쌍과 센서(도시 생략)가 배치된다.
MOCVD 시스템(100)은 전통적인 MOCVD 시스템에 비해 처리량의 증가를 특징으로 하고, 복수 개의 병진 테이프(136) 상에 초전도 YBCO 또는 다른 HTS 막의 연속적인 증착을 가능하게 한다. 작동시, 펌프(112)는 테트라하이드로퍼란(tetrahydrofuran) 및 이소프로파놀(isopropanol) 등의 적절한 용제의 혼합물과 함께 이트륨, 바륨 및 구리의 테트라메틸 헵탄디오네이트 화합물 등의 유기 금속 전구체를 함유하는 실온 보관 용액을 액체 전구체 운반 라인(116)을 통해 운반한다. 바륨 화합물은 특히 습기 함유 분위기 하에서 장기간 안정성을 보장하기 위하여 페난트롤린(phenanthroline) 등의 화합물과 내전되기 쉽다.
증발기(118)로의 도입시, 용액은 230 내지 300℃ 범위의 온도, 바람직하게는 240℃의 온도 내에서 순간적으로 확 증발된다. 아르곤 또는 질소 등의 불활성 캐 리어 가스는 가스 라인(110)을 통해 증발기(118)로 진입하여, 유기 금속 전구체 증기와 혼합되어, 전구체 증기를 전구체 증기 라인(120)을 통해 샤워헤드(126)로 운반한다.
이어서, 아산화질소(N2O)와 2가 산소(O2)가 샤워헤드(126)에 가까운 지점에서 증발기(118)와 샤워헤드(126) 사이의 전구체 증기 라인(120)내로 흘러 들어가는 라인(122)을 통해 전구체 증기와 그 불활성 캐리어 가스에 도입되어, 전구체의 조기 분해를 방지함으로써 전구체 증기 라인(120)과 샤워헤드(126) 내에 막 축적의 발생을 감소시킨다. 아산화질소, 2가 산소 및 전구체 증기는 그 불활성 캐리어 가스와 함게 전구체 증기 라인(120)을 통해 MOCVD 챔버(124)로 운반되고, 일단 샤워헤드(126)에 도달하면 전체 증착 영역에 걸쳐 균일하게 주입된다.
샤워헤드(126)와 히터(128)는 예컨대, 29 mm의 최적의 거리에서 서로에 대해 배치되어, 테이프(136)가 필요한 가열과 증기 운반을 달성하면서 그 사이를 통과하게 한다. 본 발명의 일 실시예에 있어서, 셔터(shutter)(도시 생략)가 제공되어 최적의 작동 전력까지 히터(128) 램프를 개방할 수 있다. 테이프(136)가 증착 영역을 통해 병진함에 따라, 기판 히터(128)에 의해 대략 780℃까지 온도가 상승된다. 가열된 테이프(136)는 기상 전구체 합성물에 노출되기 때문에, 유기물이 이트륨, 바륨 및 구리에 별개로 링크되어 CO2, H2O 및 NO2의 형태로 증착 영역으로부터 멀어지도록 펌핑될 때, YBCO의 박막이 그 위에 증착될 수 있다.
증착 공정 중에, UV 소스(130)는 석영판(132)을 통해 증착 영역을 전반적으 로 조사하는 UV 복사선(134)을 방출한다. 샤워헤드(126)를 통해 증착 영역으로 진입하는 전구체 증기 및 그 불활성 캐리어 가스와 함께 주입되는 아산화질소(N2O)와 2가 산소(O2)는 UV 복사선(134)과 반응하여 일산화질소(NO)와 단원자 산소(O)로 분해된다. 단원자 산소는 YBCO 박막의 형성에 2가 산소보다 효율적인 반응 가스이기 때문에, 막의 생성이 향상된다. 또한, 전구체 증기는 UV 복사선(134)으로부터 에너지를 흡수하여, 보다 높은 에너지 상태로 여기됨으로써, 산소와 간단히 반응하여 가열된 테이프(136)와 접촉하는 것에 비해 보다 쉽게 분해되어 원하는 YBCO 박막을 테이프(136)의 상부에 증착할 수 있다.
공정이 완전히 이해되지는 않지만, 증착 영역 내의 단원자 산소와 증착 영역을 UV 복사선(134)으로 전반적으로 조사하는 결과로서 전구체 증기가 높은 에너지 상태로 여기되는 것을 조합하면, MOCVD 시스템(100)에서 일어나는 박막 성장이 보다 효율적으로 가능하다. 또한, 증착 영역 내에 단원자 산소 분위기를 생성하는 UV 소스(130)의 이용은 박막 증착이 낮은 기판 온도에서 일어나게 할 수 있고, 보다 낮은 전력의 히터(128)가 가능하게 하며, 또한 금속 기판과 그 위에 증착된 YBCO 박막 사이에 발생하고 고처리량의 연속적인 MOCVD 공정을 특징으로 하는 고온에 대한 장기간 노출 상태에서 발생할 수 있는 화학 반응의 가능성을 감소시킨다.
다른 실시예에 있어서, 높은 주위 온도를 견딜 수 있는 UV 램프가 MOCVD 챔버(124) 내에 장착된다. 이들 램프는 샤워헤드(126)의 길이를 따라 일렬로 배치될 수 있고, 그 후방의 리플렉터(reflector)는 UV 복사선을 테이프(136)에 집중시킨 다.
도 2는 가스 라인(110), 펌프(112), 압력 게이지(114), 액체 전구체 운반 라인(116), 증발기(118), 전구체 증기 라인(120), 라인(122), MOCVD 챔버(124), 샤워헤드(126), 히터(128) 및 테이프(134)를 비롯하여, 도 1을 참조하여 설명한 요소와 동일하거나 유사한 요소들을 포함하는 MOCVD 시스템(200)을 도시하고 있다.
또한, MOCVD 시스템(200)은 UV 소스(130) 대신에 마이크로파 플라즈마 발사기(210)를 포함한다. 마이크로파 복사선은 마이크로파 플라즈마 발사기(210)에서 발생되어 샤워헤드(126) 전의 지점에 전구체 증기 라인(120)으로 도입된다. 마이크로파 플라즈마 발사기(210)는 약 100 W 내지 20 kW의 전력, 약 10 kW의 바람직한 전력 및 예컨대, 2.45 GHz의 주파수를 특징으로 하는 마이크로파 복사선 소스를 포함한다.
작동시, 마이크로파 발생 플라즈마는 전구체 증기, 그 불활성 캐리어 가스, N2O와 O2 및 마이크로파 플라즈마 사이에 균일한 혼합이 발생하는 방식으로 마이크로파 플라즈마 발생기(210)를 통해 전구체 증기 라인(120)으로 도입된다. 전구체 증기 및 그 불활성 캐리어 가스와 함께 운반되는 N2O와 O2는 NO와 O로 분해됨으로써, 반응 운동을 향상시키고 MOCVD 시스템(200) 내에서 박막 성장률을 개선시키는 단원자 산소 분위기를 증착 영역 내에 제공한다. 반응 운동은 또한 마이크로파 플라즈마에 의해 전구체 증기의 여기에 의해 향상되고, 그 결과 도 1을 참조하여 설명한 동일한 이유로 박막 성장률이 개선된다.
변경예에서, 마이크로 플라즈마 발사기(210)는 MOCVD 챔버(124)의 벽에 배치된 윈도우를 통해 MOCVD 챔버(124) 내로 직접 마이크로파 플라즈마를 주입함으로써, 증착 영역 내에 플라즈마 엔빌로프(plasma envelope)를 발생시킨다. 그러나, 이 실시예에 있어서는, 전구체 증기와 마이크로파 플라즈마 사이에 덜 균일한 혼합이 일어난다.

Claims (15)

  1. 유기 금속 기상 증착을 이용한 연속적인 고처리량의 고온의 초전도 테이프의 제조 방법으로서,
    단일의 또는 다수의 기판 스트랜드(strands)를 제공하는 단계와,
    상기 기판 스트랜드를 MOCVD 반응기를 통해 스레딩(threading)하는 단계와,
    초전도 전구체 화합물의 소스를 증기 형태로 제공하는 단계와,
    증기 형태의 상기 초전도 전구체 화합물을 불활성 캐리어 가스(inert carrier gas)와 혼합하는 단계와,
    증발된 전구체 화합물 및 불활성 캐리어 가스 혼합물을 아산화질소(nitrous oxide) 및 2가 산소와 조합하는 단계와,
    증착 영역이 샤워헤드(showerhead)와 이 샤워헤드 아래에 배치되는 기판 히터 사이의 공간에 의해 형성되는 MOCVD 챔버의 증착 영역을 통해 상기 기판 스트랜드를 병진(translating)시키는 단계와,
    상기 증착 영역으로 에너지를 지향시키는 에너지 소스를 제공하는 단계와,
    전구체 증기가 초전도 화합물과 함께 상기 증착 영역 내의 기판의 가열된 표면과 접촉하도록 상기 조합된 가스와 증기를 상기 샤워헤드를 통해 MOCVD 반응기 내로 도입하는 단계를 포함하며,
    상기 제공된 에너지는 2가 산소(diatomic oxygen)가 반응하여 1가 산소(monoatomic)를 형성할 수 있고 전구체 분자가 고에너지 상태로 여기되게 하기에 충분한 고온의 초전도 테이프의 제조 방법.
  2. 제 1항에 있어서, 상기 에너지는 UV 복사선의 형태인 고온의 초전도 테이프의 제조 방법.
  3. 제 1항에 있어서, 상기 에너지는 마이크로파 복사선의 형태인 고온의 초전도 테이프의 제조 방법.
  4. 제 1항에 있어서, 상기 에너지 소스는 상기 MOCVD 반응기의 외측에 배치되는 고온의 초전도 테이프의 제조 방법.
  5. 제 1항에 있어서, 상기 에너지 소스는 상기 MOCVD 반응기 내에 배치되는 고온의 초전도 테이프의 제조 방법.
  6. 제 1항에 있어서, 상기 에너지 소스는 약 100 nm 내지 350 nm의 사이에서 파장의 복사선을 방출하는 고온의 초전도 테이프의 제조 방법.
  7. 제 1항에 있어서, 단일의 기판 스트랜드가 제공되고, 이 단일의 기판 스트랜드가 코팅 후에 다수의 스트랜드로 나누어지는 고온의 초전도 테이프의 제조 방법.
  8. 제 1항에 있어서, 상기 에너지 소스는 약 500 W 내지 20 kW에 달하는 전력을 갖는 고온의 초전도 테이프의 제조 방법.
  9. 제 1항에 있어서, 상기 기판 히터는 기판을 약 700℃ 내지 약 950℃의 온도로 가열하는 고온의 초전도 테이프의 제조 방법.
  10. 제 1항에 있어서, 상기 MOCVD 반응기는 냉각벽 반응기(cold wall reactor)인 고온의 초전도 테이프의 제조 방법.
  11. 제 1항에 있어서, 상기 MOCVD 반응기 내의 압력은 1 내지 50 Torr의 범위인 고온의 초전도 테이프의 제조 방법.
  12. 제 1항에 있어서, 상기 기판은 금속이고, 그 위에 배치된 양축 버퍼층(biaxial buffer layer)을 갖는 고온의 초전도 테이프의 제조 방법.
  13. 제 1항에 있어서, 상기 에너지 소스는 약 2 내지 약 4 GHz 범위의 파장의 복사선을 생성하는 고온의 초전도 테이프의 제조 방법.
  14. 제 1항에 있어서, 상기 에너지 소스는 약 500 W 내지 20 kW의 전력을 생성하는 고온의 초전도 테이프의 제조 방법.
  15. 제 1항에 있어서, 상기 에너지 소스는 UV 에너지 소스와 마이크로파 에너지 소스의 조합인 고온의 초전도 테이프의 제조 방법.
KR1020057023341A 2003-06-05 2004-05-25 자외선 및 플라즈마 보조식 유기 금속 화학적 기상 증착시스템 KR101088642B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/456,732 US8512798B2 (en) 2003-06-05 2003-06-05 Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
US10/456,732 2003-06-05
PCT/US2004/016638 WO2004108978A2 (en) 2003-06-05 2004-05-25 Ultraviolet (uv) and plasma assisted metalorganic chemical vapor deposition (mocvd) system

Publications (2)

Publication Number Publication Date
KR20060035612A true KR20060035612A (ko) 2006-04-26
KR101088642B1 KR101088642B1 (ko) 2011-12-02

Family

ID=33490229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057023341A KR101088642B1 (ko) 2003-06-05 2004-05-25 자외선 및 플라즈마 보조식 유기 금속 화학적 기상 증착시스템

Country Status (7)

Country Link
US (1) US8512798B2 (ko)
EP (1) EP1638700B1 (ko)
JP (1) JP5011550B2 (ko)
KR (1) KR101088642B1 (ko)
CN (1) CN1798618B (ko)
CA (1) CA2527732C (ko)
WO (1) WO2004108978A2 (ko)

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8124170B1 (en) * 2004-01-23 2012-02-28 Metal Oxide Technologies, Inc Method for forming superconductor material on a tape substrate
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
CN101593669B (zh) * 2008-05-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011048914A (ja) * 2009-08-25 2011-03-10 Furukawa Electric Co Ltd:The 超電導線材及びその製造方法
KR101062463B1 (ko) 2009-12-14 2011-09-05 엘아이지에이디피 주식회사 금속유기물 화학기상증착장치 및 이를 이용한 금속유기물 화학기상증착방법
KR101062459B1 (ko) 2009-12-14 2011-09-05 엘아이지에이디피 주식회사 금속유기물 화학기상증착장치 및 이를 이용한 금속유기물 화학기상증착방법
US9694094B1 (en) 2010-01-08 2017-07-04 Tricia N. Wedding Ultraviolet plasma-shells
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
EP2837047A4 (en) * 2012-04-13 2015-12-23 Oti Lumionics Inc FUNCTIONALIZATION OF A SUBSTRATE
CN102618847A (zh) * 2012-04-26 2012-08-01 汉能科技有限公司 一种金属有机化学气相沉积反应系统
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9130113B2 (en) 2012-12-14 2015-09-08 Tsmc Solar Ltd. Method and apparatus for resistivity and transmittance optimization in TCO solar cell films
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
CN105308004A (zh) * 2013-01-15 2016-02-03 卡尔·亚历克斯·穆勒 利用紫外线辐射的氧化物的快速固态反应
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014200585A2 (en) * 2013-03-15 2014-12-18 The University Of Houston System Methods and systems for fabricating high quality superconducting tapes
US9105799B2 (en) * 2013-06-10 2015-08-11 Tsmc Solar Ltd. Apparatus and method for producing solar cells using light treatment
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103603038B (zh) * 2013-12-10 2016-06-22 吉林大学 具有水平式多孔喷淋装置的光辅助mocvd反应器
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20170144888A1 (en) * 2015-11-23 2017-05-25 G-Force Nanotechnology Ltd. Method for growing graphene by chemical vapor deposition
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN106756867A (zh) * 2016-12-05 2017-05-31 河南科技学院 一种金属有机化学气相沉积反应系统
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP2022508690A (ja) * 2018-10-14 2022-01-19 メタル オキサイド テクノロジーズ,エルエルシー 柱状欠陥のない超電導体磁束ピンニング
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
EP3931368A4 (en) * 2019-02-25 2022-11-09 Corning Incorporated MULTIPLE SHOWERHEAD CHEMICAL VAPOR DEPOSITION REACTOR, CHEMICAL VAPORATION PROCESSES AND PRODUCTS
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
CN114645259B (zh) * 2020-12-21 2024-04-12 中国科学院宁波材料技术与工程研究所 紫外辅助mocvd生长超宽禁带半导体材料的方法及系统
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102275410B1 (ko) * 2021-03-12 2021-07-09 (주)마루엘앤씨 초전도 선재 제조를 위한 플라즈마 보조 반응성 동시 증착 시스템
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115572946A (zh) * 2022-09-16 2023-01-06 华为数字能源技术有限公司 一种钙钛矿的制备方法、制备设备及光电转换器

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910007384B1 (ko) * 1987-09-16 1991-09-25 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 초전도 산화물 형성방법 및 장치
JPH01104774A (ja) * 1987-10-14 1989-04-21 Matsushita Electric Ind Co Ltd 酸化物超伝導体薄膜の製造方法
JPH01242496A (ja) * 1988-03-24 1989-09-27 Mitsubishi Metal Corp 酸化物系超伝導薄膜の製造方法
CN1045658A (zh) 1989-03-16 1990-09-26 中国科学院上海冶金研究所 一种金属氧化物超导薄膜的制备方法
JPH0350193A (ja) 1989-07-18 1991-03-04 Oki Electric Ind Co Ltd 超電導薄膜の形成方法
JP2854623B2 (ja) * 1989-09-05 1999-02-03 株式会社東芝 酸化物超電導体薄膜の製造方法
US5447569A (en) * 1990-12-12 1995-09-05 Hiskes; Ronald MOCVD system for forming superconducting thin films
JPH0551748A (ja) * 1991-08-19 1993-03-02 Hoxan Corp 有機金属化学気相成長法による酸化物超伝導薄膜の作製方法
JPH07206592A (ja) 1993-12-29 1995-08-08 Toshiba Corp 酸化物薄膜の製造方法
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3354747B2 (ja) 1995-05-22 2002-12-09 株式会社フジクラ Cvd反応装置および酸化物超電導導体の製造方法
KR100228768B1 (ko) * 1996-10-02 1999-11-01 김영환 화학 기상증착 장치 및 증착방법
US6500489B1 (en) 1996-11-27 2002-12-31 Advanced Technology Materials, Inc. Low temperature CVD processes for preparing ferroelectric films using Bi alcoxides
US6066204A (en) * 1997-01-08 2000-05-23 Bandwidth Semiconductor, Llc High pressure MOCVD reactor system
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
AUPR515301A0 (en) * 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
US20040016401A1 (en) * 2002-07-26 2004-01-29 Metal Oxide Technologies, Inc. Method and apparatus for forming superconductor material on a tape substrate
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate

Also Published As

Publication number Publication date
EP1638700A2 (en) 2006-03-29
US8512798B2 (en) 2013-08-20
WO2004108978A2 (en) 2004-12-16
CA2527732C (en) 2013-12-10
KR101088642B1 (ko) 2011-12-02
US20040247779A1 (en) 2004-12-09
WO2004108978A3 (en) 2005-05-12
CA2527732A1 (en) 2004-12-16
JP2006526708A (ja) 2006-11-24
CN1798618A (zh) 2006-07-05
JP5011550B2 (ja) 2012-08-29
EP1638700B1 (en) 2013-07-17
CN1798618B (zh) 2013-09-04
EP1638700A4 (en) 2007-03-21

Similar Documents

Publication Publication Date Title
KR101088642B1 (ko) 자외선 및 플라즈마 보조식 유기 금속 화학적 기상 증착시스템
US7387811B2 (en) Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
CN105144849B (zh) 环形等离子体处理装置
US8227019B2 (en) High-throughput ex-situ method for rare-earth-barium-copper-oxide (REBCO) film growth
US20120258863A1 (en) Metalorganic chemical vapor deposition (mocvd) process and apparatus to produce multi-layer high-temperature superconducting (hts) coated tape
US20060115580A1 (en) Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US5643365A (en) Method and device for plasma vapor chemical deposition of homogeneous films on large flat surfaces
WO2006022860A2 (en) A chemical vapor deposition (cvd) apparatus usable in the manufacture of superconducting conductors
JPS63203772A (ja) 銅薄膜の気相成長方法
Han et al. Cubic dielectrics for superconducting electronics. In situ growth of epitaxial strontium aluminum tantalate (Sr2AlTaO6) thin films using metalorganic chemical vapor deposition
CN100450646C (zh) 用于厚膜带的离子束辅助高温超导体(hts)沉积
Loh et al. Diamond synthesis in supersonic direct-current arcjet plasma at subtorr pressures
Heberlein et al. Thermal plasma chemical vapor deposition
JPS63307277A (ja) 金属酸化物薄膜作製用の光mocvd装置
Smith et al. Large area diamond reactor using a high flow velocity microwave plasma jet
JPS63307275A (ja) 高温超伝導体薄膜作製用のmocvd装置
JP2004091809A (ja) Cvd用液体原料供給装置および酸化物超電導体の製造方法
JPS63307276A (ja) 超伝導体薄膜作製用のmocvd装置
Ignatiev MOCVD Growth of YBCO Films for Coated Conductor Applications

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141113

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151124

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161121

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171012

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181106

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20191112

Year of fee payment: 9