CN1798618A - 紫外(uv)和等离子体辅助金属有机化学气相沉积(mocvd)系统 - Google Patents

紫外(uv)和等离子体辅助金属有机化学气相沉积(mocvd)系统 Download PDF

Info

Publication number
CN1798618A
CN1798618A CNA2004800154748A CN200480015474A CN1798618A CN 1798618 A CN1798618 A CN 1798618A CN A2004800154748 A CNA2004800154748 A CN A2004800154748A CN 200480015474 A CN200480015474 A CN 200480015474A CN 1798618 A CN1798618 A CN 1798618A
Authority
CN
China
Prior art keywords
substrate
mocvd
energy
precursor
crystallizing field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800154748A
Other languages
English (en)
Other versions
CN1798618B (zh
Inventor
V·塞尔瓦曼尼克姆
李喜均
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SuperPower Inc
Original Assignee
SuperPower Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SuperPower Inc filed Critical SuperPower Inc
Publication of CN1798618A publication Critical patent/CN1798618A/zh
Application granted granted Critical
Publication of CN1798618B publication Critical patent/CN1798618B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/02Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain a matt or rough surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N60/00Superconducting devices
    • H10N60/01Manufacture or treatment
    • H10N60/0268Manufacture or treatment of devices comprising copper oxide
    • H10N60/0296Processes for depositing or forming copper oxide superconductor layers
    • H10N60/0436Processes for depositing or forming copper oxide superconductor layers by chemical vapour deposition [CVD]
    • H10N60/0464Processes for depositing or forming copper oxide superconductor layers by chemical vapour deposition [CVD] by metalloorganic chemical vapour deposition [MOCVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明是用于制造HTS涂覆的带的高产出的、紫外(UV)辅助金属有机化学气相沉积(MOCVD)系统。本发明的UV辅助MOCVD系统包括辐射蒸发区和提高膜增长速率的UV源。为了优选反应动力学并因此提高薄膜的生长速率,MOCVD系统还促进了前体蒸汽的激发和单原子氧(O)气氛的应用,而不是使用常规的双原子氧(O2)。在另一个实施方式中,微波等离子体发射器取代UV源。

Description

紫外(UV)和等离子体辅助金属有机化学气相沉积(MOCVD)系统
技术领域-
本发明涉及高温超导(HTS)涂覆电线的高产出金属有机化学气相沉积(MOCVD)。更具体地,本发明涉及结合紫外(UV)或微波辐射源来辅助化学气相沉积(CVD)的MOCVD系统。
背景技术
在过去的三十年中,美国的最终能量消耗中电能已从25%上升到了40%。随着对能源的需求增加,越来越急需高度可靠、高质量的能源。随着对能源的需求不断增长,城市电力系统被推到了其性能的极限,较陈旧的部分更甚,需要有新的解决方案。
导线形成了世界电力系统的基本结构单元,包括变压器、传输和配电系统,以及电动机。由于1986年革命性的HTS化合物的发现,开发出了完全新型的电力工业用的导线;这个发现是导线技术在一个多世纪里最重要的进步。
HTS涂布的导线具有最佳的性能,它所输送的电流比相同物理尺寸的常规铜导体或铝导体高100倍。HTS涂布导线的出众功率密度,可以产生新一代的电力工业技术。它提供重大的尺寸、重量和功率方面的益处。HTS技术可以以各种方式降低电力系统的成本,增加其能力和可靠性。例如,HTS涂布的导线的输送能力超过通过现有的路线的两倍至五倍。这种新的电缆将提供一种有力的工具,从而在改进输电网的同时,减少它们在环境中留下的痕迹(footprint)。然而,迄今为止,仅制得了高性能的用来制造下一代HTS涂布导线的HTS带的短样品。为使HTS能够实际应用于电力生产和配电工业,需要开发出用于连续、高生产量地生产HTS带的技术。
MOCVD是一种有高生产量前景的沉积方法,这种高生产量低成本高效益地生产HTS涂覆的线带所必需的。在MOCVD过程中,HTS膜如钇-钡-铜-氧化物(YBa2Cu3O7或YBCO)可通过由惰性气体带入热缓冲金属基片中的气相的前体在基片表面发生化学反应来沉积。
Hubert等于1998年10月13日提出的美国专利第5820678号,题为“SolidSource MOCVD System”中描述了一种MOCVD制造超导和非超导氧化物膜的系统,其中包括一种用于多组分气相化学沉积的金属有机前体的进料的传送系统。该前体可按所需速度碾磨,然后送入蒸发区,再进入沉积室内的反应区用于薄膜沉积。但是,由Hubert等介绍的方法所得到的生产量受到极大限制。进行MOCVD的基片在整个沉积过程中用热导性浆糊固定地附着在基片支架上。其结果是,Hubert等介绍的MOCVD工艺是以间断式沉积轮次为特征的,这在很大程度上限制了通过这样一个反应过程所能得到的产率。
曾试图提高MOCVD方法的沉积效率。Tompa于2001年12月18日获得的美国专利第6289842号,题为“Plasma Enhanced Chemical Vapor DepositionSystem”中描述了一种射频等离子体发生系统,用来提高间断式晶圆涂层系统中的沉积方法。Hubert等在美国专利第5820678中提供了一种与13.54MHz的发电机相连、并缠绕在蒸发的反应物的注射锥周围的线圈,用以产生射频等离子体,促进作为气相混合物的化学反应物到达沉积室内的反应区。
P.C.Chou等在Physical C 254(1995年)第93-112页的“Optimizationof Jc of YBCO films prepared by photo-assisted MOCVD through statisticalrobust design”一文中揭示了使用光辅助CVD实现沉积钇-钡-铜-氧化物(YBCO)膜的高沉积速率。Chou等使用双原子氧气氛和发射范围较宽的电磁辐射(包括UV和红外(IR)辐射)的卤素灯,并依靠卤素灯来加热基片(IR)以及进入沉积区的前体(UV)以提高反应动力学,这常常导致过早的前体分解反应。Chou等介绍的方法既不能升级也不能重复,并且不是非常适合于连续沉积到延长的基片上;因此该研究成果不适合高产出的MOCVD方法。
微波等离子体增强的化学气相沉积(PECVD)钇-稳定的氧化锆(YSZ)薄膜的研究工作已经由B.Preauchat等发表在Proceedings of the 8th InternationalPlama Surface Engineering Conference上,(2001年),第109-115,题为“Performances of microwave PECVD reactor or thin and thick oxidecoatings at extremely high deposition rate”。B.Preauchat等介绍的系统包括由石英壁形成的沉积室。这需要高温玻璃器具加工,从而很难建立一套能连续沉积长电线的系统。
一种更好的适用于大规模MOCVD系统的方法是使用卷轴对卷轴的卷绕系统,该系统将多个带缓冲的金属基片带平移通过MOCVD室。基片带并肩平移通过室壁中的狭缝进入MOCVD室和从MOCVD室中出来,并且在MOCVD室中进行膜沉积。选择辐射加热器和喷头的大小,使其适合产生大范围的沉积区域,以在较大的区域内在多个平移基片带上进行膜沉积。除了较大的沉积区外,影响产出量的其它主要因素是MOCVD方法中薄膜的生长速率。复合反应动力学在很大程度上决定着在此类方法中能够达到的薄膜生长速率。对这些复合反应动力学有贡献的因素包括室压、基片温度、氧气含量及其引入沉积区的方法、提供给沉积区的前体的量(由前体的摩尔浓度和通过喷头组件的前体蒸汽和惰性载气的质量流量速度)、前体在它们被进入沉积区之前所保持的温度、和反应副产物从沉积区中排出的效率。
因此,本发明的一个目的是通过在沉积区中使用能源来提高反应动力学,来提供一种生产能力得到改善的连续MOCVD系统。
因此,本发明的一个目的是通过在沉积区中使用UV或微波能量来提高反应动力学,来提供一种生产能力得到改善的连续MOCVD系统。
因此,本发明的一个目的是提供一种生产能力得到改善、提高了前体在超导薄膜沉积的过程中的使用效率的连续MOCVD系统。
本发明的另一个发明目的是通过向沉积区中提供单原子氧(O)气氛来增加反应动力学,来提供一种生产能力得到改善的连续MOCVD系统。
发明内容
在所揭示的方法中,通过在MOCVD反应器的沉积区域中使用辅助能源、外加提供含氧化亚氮和双原子氧,最大程度地克服了用于沉积YBCO薄膜的现有方法中相关的问题。其中氮氧化物和双原子氧通过喷头注入到沉积区中以在多个连续平移的金属基片的表面上沉积薄膜。
附图说明
图1说明本发明的UV辅助MOCVD系统。
图2说明本发明的等离子体辅助MOCVD系统。
具体实施方式
在本发明的第一实施例中,本发明是用于制造HTS涂覆的线带的生产能力高、UV辅助的MOCVD系统。本发明的UV辅助MOCVD系统包括辐射沉积区提高薄膜生长速率的UV源。MOCVD系统还使用单原子氧(O)气氛而不是更常用的双原子氧(O2),来优化反应动力学,从而提高薄膜的生长速率。
本发明减少或消除了长期沉积运行中会发生的副反应,而长期运行是此类高产出连续MOCVD系统的特征。使用单原子氧降低了最佳薄膜生长所需的沉积温度,这最大程度地降低了金属基片与YBCO薄膜之间的不良副反应。
图1说明本发明的高产出、UV辅助MOCVD系统100。MOCVD系统100包括向蒸发室118中进料的气路110和液态前体传送管路116。泵112与液态前体传送管路116相连,压力表114沿着液态前体传送管路116设置。气路110是如氩气或氮气之类的惰性气体通过的管道或管线。液态前体传送管路116是含有如钇、钡和铜之类的有机金属前体与合适的溶剂的混合物一起形成的溶液在泵112和蒸发器118之间通过的管道或管线。泵112是能够使流速低至0.1毫升/分钟至10毫升/分钟之间的液态传送泵。泵112是高压低流速泵,诸如高压液体色谱(HPLC)泵。压力表114是用来监测液态前体溶液在进入蒸发室118之前的传送压力的传感设备。蒸发室118是前体溶液在其中瞬间蒸发的部件,前体溶液瞬间蒸发并与惰性载气混合,通过前体蒸汽管路120传送到喷头126。
管路122是含氧化亚氮(N2O)和两原子氧(O2)通过的管道或管线,管路122在蒸发器118和喷头126之间开口进入前体蒸汽管路122中。喷头126是本领域中已知的能够在一给定的区域内均匀分散蒸汽的元件,可为不锈钢形式,由用多个螺钉固定在一起并在其中用衬垫形成密封的上法兰和下法兰组成。机械加工使下法兰上有多个贯通孔,并且这些孔排列为间隔均匀的小开口。
在另一实施方式中,喷头126可包括由多个前体蒸汽管路进料的多个孤立的隔室,它们的进料方式要能够沉积多层涂覆带,该涂覆带具有例如YBCO和钐-钡-铜-氧化物(SmBa2Cu3O7或“Sm123”)的交替薄膜。
在MOCVD室124之内有喷头126和基片加热器128,它们彼此相对设置,直接在它们之间空间区域内建立起沉积区。
使一个或多个带136在沉积区内平移穿行。带136是各种长度的基片,由各种金属形成,诸如不锈钢或镍合金如因科镍合金,在基片上有缓冲层,诸如氧化钇-稳定的氧化锆(YSZ)和/或氧化铈(CeO2),是预先沉积的,呈双轴纹理,例如,(100)<001>立方纹理。带136能够承受约900℃的温度,且其尺寸可调,以符合所需最终产品和系统的限制。例如,带136的厚度可为25微米,宽为1厘米,长为100米。
加热器128是众所周知的单区或多区基片加热器,它能够通过如灯之类的辐射加热元件对基片带136进行加热,通常温度在约700℃至950℃的范围内。或者,加热器128是使用如坎萨尔斯铬铝电热丝或MoSi2之类的加热元件的电阻加热器。MOCVD室124是进行MOCVD过程的真空密封的沉积室,诸如冷壁室反应器,其压力可以维持在1托至50托的范围内,例如为3.2托。
由喷头126和加热器128的尺寸限定的沉积区能够实现在三十个以约2毫米的间隔均匀隔开的平移带136上进行沉积作用。
设置在MOCVD室124外部的是一个能够发射UV辐射134穿过设置在MOCVD室124的内壁中的石英片132,以使UV辐射134可导入沉积区的UV源130。UV源130包括一个壳体,在其中有一个或多个灯之类的元件发射波长在约100纳米至350纳米之间的UV辐射134,优选的波长是172纳米。UV源130的特征功率在约500瓦至20千瓦之间,较佳的功率约为10千瓦。UV源130的大小要符合在喷头126和加热器128之间建立的沉积区的大小,结果是UV源130可包括多个灯以便能辐射整个沉积区。石英片132是一个窗口,UV辐射134可以以较低的吸收或反射通过该窗口传输到MOCVD室124中。
另外,多个热电偶和传感器(未示出)可类似地设置在整个MOCVD系统100的重要位置。
MOCVD系统100的特点在于它比传统的MOCVD系统的生产量要高,并且能够在多个平移带136上连续沉积超导YBCO或其它HTS膜。在运行中,泵112通过液态前体传送管路116将在室温下储存的含有有机金属前体以及合适的溶剂混合物的溶液传送到蒸发器118中,其中有机金属包括例如钇、钡和铜的四甲基庚二酸盐(tetramethyl heptanedionate)化合物,溶剂包括例如四氢呋喃和异丙醇。钡化合物可与如邻二氮杂菲之类的化合物加合,以保证长期的稳定性,特别实在含有湿气的气氛下,
溶液一旦进入蒸发器118,立即在约230℃至300℃之间、较佳的是约240℃下瞬间蒸发。如氩气或氮气之类的惰性载气通过气路110进入蒸发器118,与有机金属前体蒸汽混合,并将前体蒸汽通过前体蒸汽管路120向喷头126传送。
然后将一氧化二氮(N2O)和双原子氧(O2)经管路122引入到前体蒸汽和惰性载气中,管路122在蒸发器118和喷头126之间、在接近喷头118处接入到前体蒸汽管路120中,以避免前体的过早分解,从而减少了在前体管路120和喷头126中的膜积聚。将一氧化二氮、双原子氧、和带有惰性气体的前体蒸汽通过前体蒸汽管路120传送到MOCVD室124中,到达喷头126后,立即均匀地注入到整个沉积区中。
喷头126和加热器128彼此相对设置,它们之间的最佳距离为例如29毫米,以允许带136在它们之间通过,同时又得到必要的加热和蒸汽传送。在本发明的一个实施方式中,提供一个闸门(shutter,未示出),一旦加热器升到其最佳运行功率,闸门即打开。带136在沉积区中平移移动时,它们被基片加热器128加热到约780℃。当加热后的带136暴露在气相前体组合物中时,YBCO薄膜可在其上沉积,而曾与钇、钡和铜连接的有机物被分离,并以CO2、H2O和NO2的形式从沉积区中抽出。
在沉积的过程中,UV源130发射UV辐射134,通过石英片132充满整个沉积区。通过喷头126被注入到沉积区中的一氧化二氮(N2O)和双原子O(O2)气体与前体蒸汽和它们的惰性载气与UV辐射134反应,分解为一氧化氮(NO)和单原子氧(O)。因为单原子氧与双原子氧相比,在形成YBCO薄膜方面是更有效的反应气,所以膜的生产率得到提高。此外,与只是与氧气反应并与加热带136接触相比,前体蒸汽吸收来自UV辐射134的能量、被激发到高能态而更易于分解,在带136上沉积期望的YBCO薄膜。
尽管此过程还没有完全被理解,但是沉积区中的单原子氧气氛和前体蒸汽因为UV辐射134充满沉积区而被蒸发到高能态的组合,能带来MOCVD系统100中更有效的薄膜生长。而且,使用UV源130在沉积区中生成单原子氧气氛,使薄膜的沉积在较低的基片温度下发生,使加热器128可以具有较小的功率,并且减少了金属基片与沉积在基片上的YBCO薄膜在长时间暴露在高温下发生化学反应的可能性,而长时间暴露是高产出、连续MOCVD方法的特征。
在另一个实施方式中,能够承受高环境温度的UV灯安装在MOCVD室124内。这些灯可沿着喷头126的长边成直线排列,在它们后面有一个反射器,用来将UV辐射聚焦到带136上。
图2显示的是MOCVD系统200,其包括与参考图1所描述的元件等同或类似的元件,包括气路110、泵112、压力表114和液体前体传送管路116、蒸发器118、前体蒸汽管路120、管路122、MOCVD室124、喷头126、加热器128和带134。
另外,MOCVD系统200包括一用来取代UV源130的微波等离子体发射器。微波辐射在微波等离子体发射器210中产生,在喷头126之前的位置被引入到前体蒸汽管路120中。微波等离子发射器210包括微波辐射源,其特征功率在约100瓦至20千瓦之间,较佳的功率约为10千瓦,频率为例如2.45GHz。
在运行中,微波生成等离子体通过微波等离子体发射器210以这样的方式被引入到前体蒸汽管路120中,即在前体蒸汽、它们的惰性载气、N2O和O2、和微波等离子体之间发生均匀的混合。用前体蒸汽和它们的惰性载气传送的N2O和O2分解为NO和O,从而在沉积区内提供单原子氧气氛,因而增加了反应动力学,提高了薄膜在MOCVD系统200中的生长速率。通过微波等离子体激发前体蒸汽进一步增加了反应动力学,结果因为参考图1中所述的相同的原因提高了薄膜的增长率。
在另一个实施方式中,微波等离子发射器210通过设置在MOCVD室124壁中的窗口直接射入到MOCVD室124中,从而在沉积区中产生等离子体包。但是,在此实施方式中,前体蒸汽和微波等离子体之间的混合不够均一。

Claims (15)

1.一种使用金属有机气相沉积法连续高产出地制备高温超导带的方法,其包括:
提供单股或多股基片,
将这些基片股穿过MOCVD反应器,
提供蒸汽形式的超导前体组合物源,
将该蒸汽形式的超导前体组合物与惰性载气混合,
将该蒸发的前体组合物和惰性载气的混合物与一氧化二氮和双原子氧组合,
将该基片股平移通过MOCVD室中的沉积区,其中所述沉积区界定为喷头和喷头下方的基片加热器之间的空间,
提供将能量导入所述沉积区的能源,其中提供的能源足以引起双原子氧反应并形成单原子氧,且足以将所述前体分子激发到高能态,和
将该合并的气体和蒸汽通过喷头引入到MOCVD反应器,以使具有超导组成的前体蒸汽在所述沉积区中接触于基片的受热表面。
2.如权利要求1所述的方法,其特征在于,所述能量是UV辐射形式。
3.如权利要求1所述的方法,其特征在于,所述能量是微波辐射形式。
4.如权利要求1所述的方法,其特征在于,所述能源设置在MOCVD反应器之外。
5.如权利要求1所述的方法,其特征在于,所述能源设置在MOCVD反应器之内。
6.如权利要求1所述的方法,其特征在于,所述能源发射波长在约100纳米至350纳米之间的辐射。
7.如权利要求1所述的方法,其特征在于,提供了单股基片,且所述单股基片在涂覆之后分为多股基片。
8.如权利要求1所述的方法,其特征在于,所述能源具有约500瓦至20千瓦之间的功率。
9.如权利要求1所述的方法,其特征在于,所述基材加热器将基片加热到约700℃至约950℃。
10.如权利要求1所述的方法,其特征在于,所述MOCVD反应器是冷壁式反应器。
11.如权利要求1所述的方法,其特征在于,所述MOCVD反应器中的压力在1托至50托的范围内。
12.如权利要求1所述的方法,其特征在于,所述基片是金属的,并且在其上沉积有双轴缓冲层。
13.如权利要求1所述的方法,其特征在于,所述能源产生波长在约2GHz至约4GHz之间的辐射。
14.如权利要求1所述的方法,其特征在于,所述能源产生约500瓦至20千瓦之间的功率。
15.如权利要求1所述的方法,其特征在于,所述能源是UV能源和微波能源的组合。
CN2004800154748A 2003-06-05 2004-05-25 紫外(uv)和等离子体辅助金属有机化学气相沉积(mocvd)系统 Expired - Lifetime CN1798618B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/456,732 US8512798B2 (en) 2003-06-05 2003-06-05 Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
US10/456,732 2003-06-05
PCT/US2004/016638 WO2004108978A2 (en) 2003-06-05 2004-05-25 Ultraviolet (uv) and plasma assisted metalorganic chemical vapor deposition (mocvd) system

Publications (2)

Publication Number Publication Date
CN1798618A true CN1798618A (zh) 2006-07-05
CN1798618B CN1798618B (zh) 2013-09-04

Family

ID=33490229

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800154748A Expired - Lifetime CN1798618B (zh) 2003-06-05 2004-05-25 紫外(uv)和等离子体辅助金属有机化学气相沉积(mocvd)系统

Country Status (7)

Country Link
US (1) US8512798B2 (zh)
EP (1) EP1638700B1 (zh)
JP (1) JP5011550B2 (zh)
KR (1) KR101088642B1 (zh)
CN (1) CN1798618B (zh)
CA (1) CA2527732C (zh)
WO (1) WO2004108978A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101593669B (zh) * 2008-05-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
CN102618847A (zh) * 2012-04-26 2012-08-01 汉能科技有限公司 一种金属有机化学气相沉积反应系统
CN103603038A (zh) * 2013-12-10 2014-02-26 吉林大学 具有水平式多孔喷淋装置的光辅助mocvd反应器
CN105308004A (zh) * 2013-01-15 2016-02-03 卡尔·亚历克斯·穆勒 利用紫外线辐射的氧化物的快速固态反应
CN106756867A (zh) * 2016-12-05 2017-05-31 河南科技学院 一种金属有机化学气相沉积反应系统
CN115572946A (zh) * 2022-09-16 2023-01-06 华为数字能源技术有限公司 一种钙钛矿的制备方法、制备设备及光电转换器

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8124170B1 (en) * 2004-01-23 2012-02-28 Metal Oxide Technologies, Inc Method for forming superconductor material on a tape substrate
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011048914A (ja) * 2009-08-25 2011-03-10 Furukawa Electric Co Ltd:The 超電導線材及びその製造方法
KR101062463B1 (ko) 2009-12-14 2011-09-05 엘아이지에이디피 주식회사 금속유기물 화학기상증착장치 및 이를 이용한 금속유기물 화학기상증착방법
KR101062459B1 (ko) 2009-12-14 2011-09-05 엘아이지에이디피 주식회사 금속유기물 화학기상증착장치 및 이를 이용한 금속유기물 화학기상증착방법
US9694094B1 (en) 2010-01-08 2017-07-04 Tricia N. Wedding Ultraviolet plasma-shells
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013152446A1 (en) * 2012-04-13 2013-10-17 Oti Lumionics Inc. Functionalization of a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9130113B2 (en) 2012-12-14 2015-09-08 Tsmc Solar Ltd. Method and apparatus for resistivity and transmittance optimization in TCO solar cell films
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014200585A2 (en) 2013-03-15 2014-12-18 The University Of Houston System Methods and systems for fabricating high quality superconducting tapes
US9105799B2 (en) * 2013-06-10 2015-08-11 Tsmc Solar Ltd. Apparatus and method for producing solar cells using light treatment
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9276190B2 (en) 2013-10-01 2016-03-01 The Pen Practical method of producing an aerogel composite continuous thin film thermoelectric semiconductor material by modified MOCVD
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20170144888A1 (en) * 2015-11-23 2017-05-25 G-Force Nanotechnology Ltd. Method for growing graphene by chemical vapor deposition
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
CA3115523A1 (en) * 2018-10-14 2020-06-11 Metal Oxide Technologies, Llc. Superconductor flux pinning without columnar defects
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020176462A1 (en) * 2019-02-25 2020-09-03 Corning Incorporated Multi-showerhead chemical vapor deposition reactor, process and products
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
CN114645259B (zh) * 2020-12-21 2024-04-12 中国科学院宁波材料技术与工程研究所 紫外辅助mocvd生长超宽禁带半导体材料的方法及系统
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102275410B1 (ko) * 2021-03-12 2021-07-09 (주)마루엘앤씨 초전도 선재 제조를 위한 플라즈마 보조 반응성 동시 증착 시스템
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910007384B1 (ko) * 1987-09-16 1991-09-25 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 초전도 산화물 형성방법 및 장치
JPH01104774A (ja) * 1987-10-14 1989-04-21 Matsushita Electric Ind Co Ltd 酸化物超伝導体薄膜の製造方法
JPH01242496A (ja) * 1988-03-24 1989-09-27 Mitsubishi Metal Corp 酸化物系超伝導薄膜の製造方法
CN1045658A (zh) 1989-03-16 1990-09-26 中国科学院上海冶金研究所 一种金属氧化物超导薄膜的制备方法
JPH0350193A (ja) 1989-07-18 1991-03-04 Oki Electric Ind Co Ltd 超電導薄膜の形成方法
JP2854623B2 (ja) * 1989-09-05 1999-02-03 株式会社東芝 酸化物超電導体薄膜の製造方法
US5447569A (en) 1990-12-12 1995-09-05 Hiskes; Ronald MOCVD system for forming superconducting thin films
JPH0551748A (ja) * 1991-08-19 1993-03-02 Hoxan Corp 有機金属化学気相成長法による酸化物超伝導薄膜の作製方法
JPH07206592A (ja) 1993-12-29 1995-08-08 Toshiba Corp 酸化物薄膜の製造方法
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3354747B2 (ja) 1995-05-22 2002-12-09 株式会社フジクラ Cvd反応装置および酸化物超電導導体の製造方法
KR100228768B1 (ko) 1996-10-02 1999-11-01 김영환 화학 기상증착 장치 및 증착방법
US6500489B1 (en) 1996-11-27 2002-12-31 Advanced Technology Materials, Inc. Low temperature CVD processes for preparing ferroelectric films using Bi alcoxides
US6066204A (en) 1997-01-08 2000-05-23 Bandwidth Semiconductor, Llc High pressure MOCVD reactor system
US5820678A (en) 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
AUPR515301A0 (en) * 2001-05-22 2001-06-14 Commonwealth Scientific And Industrial Research Organisation Process and apparatus for producing crystalline thin film buffer layers and structures having biaxial texture
US20040023810A1 (en) 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US20040016401A1 (en) 2002-07-26 2004-01-29 Metal Oxide Technologies, Inc. Method and apparatus for forming superconductor material on a tape substrate

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101593669B (zh) * 2008-05-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 等离子体处理腔室中原位紫外线处理方法及应力氮化硅膜的形成方法
CN102618847A (zh) * 2012-04-26 2012-08-01 汉能科技有限公司 一种金属有机化学气相沉积反应系统
CN105308004A (zh) * 2013-01-15 2016-02-03 卡尔·亚历克斯·穆勒 利用紫外线辐射的氧化物的快速固态反应
CN103603038A (zh) * 2013-12-10 2014-02-26 吉林大学 具有水平式多孔喷淋装置的光辅助mocvd反应器
CN103603038B (zh) * 2013-12-10 2016-06-22 吉林大学 具有水平式多孔喷淋装置的光辅助mocvd反应器
CN106756867A (zh) * 2016-12-05 2017-05-31 河南科技学院 一种金属有机化学气相沉积反应系统
CN115572946A (zh) * 2022-09-16 2023-01-06 华为数字能源技术有限公司 一种钙钛矿的制备方法、制备设备及光电转换器

Also Published As

Publication number Publication date
US20040247779A1 (en) 2004-12-09
US8512798B2 (en) 2013-08-20
JP5011550B2 (ja) 2012-08-29
KR20060035612A (ko) 2006-04-26
EP1638700B1 (en) 2013-07-17
CA2527732A1 (en) 2004-12-16
EP1638700A2 (en) 2006-03-29
WO2004108978A2 (en) 2004-12-16
WO2004108978A3 (en) 2005-05-12
KR101088642B1 (ko) 2011-12-02
CN1798618B (zh) 2013-09-04
CA2527732C (en) 2013-12-10
JP2006526708A (ja) 2006-11-24
EP1638700A4 (en) 2007-03-21

Similar Documents

Publication Publication Date Title
CN1798618B (zh) 紫外(uv)和等离子体辅助金属有机化学气相沉积(mocvd)系统
US8227019B2 (en) High-throughput ex-situ method for rare-earth-barium-copper-oxide (REBCO) film growth
US20120258863A1 (en) Metalorganic chemical vapor deposition (mocvd) process and apparatus to produce multi-layer high-temperature superconducting (hts) coated tape
US7387811B2 (en) Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
WO2006004579A2 (en) A chemical vapor deposition apparatus
WO2006022860A2 (en) A chemical vapor deposition (cvd) apparatus usable in the manufacture of superconducting conductors
JP2016508943A (ja) 紫外線放射による酸化物の急速な固相反応
JP2818751B2 (ja) 酸化物超伝導体薄膜の形成方法
RU2388770C2 (ru) Способ получения тонких пленок химических соединений и установка для его осуществления
CN100450646C (zh) 用于厚膜带的离子束辅助高温超导体(hts)沉积
Stadel et al. MOCVD techniques for the production of coated conductors
CN1045658A (zh) 一种金属氧化物超导薄膜的制备方法
Zhao et al. Low Temperature Preparation of Y-Ba-Cu-0 High Tc Superconducting Thin Films by Plasma-Enhanced Organometallic Chemical Vapor Deposition
Suzuki et al. Synthesis of YBa 2 Cu 3 O 7− x Thin Films by the Spray-ICP Technique
Greenwald Superconducting films by MOCVD on irregular surfaces
Obi-Johnson Mechanistic analysis of the thermally induced decomposition of certain metal beta-diketonate precursors for chemical vapor deposition of electronic materials
JPH01298025A (ja) 超電導セラミック薄膜の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20130904