JP2019510362A - 垂直方向に隔離された電荷蓄積領域を含む3次元メモリデバイスおよびその形成方法 - Google Patents

垂直方向に隔離された電荷蓄積領域を含む3次元メモリデバイスおよびその形成方法 Download PDF

Info

Publication number
JP2019510362A
JP2019510362A JP2018530707A JP2018530707A JP2019510362A JP 2019510362 A JP2019510362 A JP 2019510362A JP 2018530707 A JP2018530707 A JP 2018530707A JP 2018530707 A JP2018530707 A JP 2018530707A JP 2019510362 A JP2019510362 A JP 2019510362A
Authority
JP
Japan
Prior art keywords
layer
silicon
memory device
dielectric
dimensional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018530707A
Other languages
English (en)
Other versions
JP6550541B2 (ja
Inventor
シャランパニ ラウル
シャランパニ ラウル
エス. マカラ ラフバー
エス. マカラ ラフバー
カナカメダラ セナカ
カナカメダラ セナカ
ツォウ フェイ
ツォウ フェイ
ペリ ソメシュ
ペリ ソメシュ
昌則 堤
昌則 堤
シュクラ キールチ
シュクラ キールチ
裕介 井川
裕介 井川
榊原 清彦
清彦 榊原
英介 滝井
英介 滝井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/158,954 external-priority patent/US9711530B1/en
Application filed by SanDisk Technologies LLC filed Critical SanDisk Technologies LLC
Publication of JP2019510362A publication Critical patent/JP2019510362A/ja
Application granted granted Critical
Publication of JP6550541B2 publication Critical patent/JP6550541B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

メモリ開口部は、基板の上に提供された絶縁層と犠牲材料層との交互積層体を通して形成され得る。環状エッチストップ材料部分は、メモリ開口部の周りの犠牲材料層の各レベルに提供される。環状エッチストップ材料部分は、犠牲材料層の表面部分を誘電体材料部分に変換することにより、またはメモリ開口部の周りの犠牲材料層を陥凹させ、かつメモリ開口部の周りの窪みを充填することにより形成され得る。メモリ積層体構造の形成後、犠牲材料層は裏面から除去される。環状エッチストップ材料部分は、少なくとも部分的に変換されて、電荷トラップ材料部分を形成する。メモリ積層体構造の周りで電荷トラップ材料部分を互いに垂直方向に隔離することにより、異なるワードラインレベルに位置する電荷トラップ材料部分間の漏れが最小限に抑えられる。

Description

本出願は、2016年8月29日出願の米国特許出願公開第15/250,185号明細書の優先権の利益を主張するものである。上記特許出願は、2016年3月25日出願の米国特許出願第62/313,234号明細書の優先権の利益を主張する2016年5月19日出願の米国特許出願公開第15/158,954号明細書の一部継続出願である。これらの特許出願の内容全体が参照により本明細書に援用される。
本開示は、概して、3次元メモリデバイスの分野に関し、具体的には、垂直NANDストリングなどの3次元メモリデバイスおよびその形成方法に関する。
1セル当たり1ビットを有する3次元垂直NANDストリングは、T.Endohらによる“Novel Ultra High Density Memory With A Stacked−Surrounding Gate Transistor(S−SGT)Structured Cell”,IEDM Proc.(2001)33−36という名称の論文に開示されている。
本開示の一態様によれば、3次元メモリデバイスであって、基板の上に位置する絶縁層と導電層との交互積層体と、交互積層体を通して延び、かつトンネル誘電体層および垂直半導体チャネルを含むメモリ積層体構造であって、トンネル誘電体層の外側壁の第1部分は、絶縁層の近位側壁に接触する、メモリ積層体構造と、導電性層の各レベルに位置し、ケイ素および窒素を含む誘電体化合物を含み、かつトンネル誘電体層の外側壁の第2部分に接触する電荷トラップ材料部分を含む3次元メモリデバイスが提供される。
本開示の別の態様によれば、3次元メモリデバイスを形成する方法が提供される。絶縁層と犠牲材料層との交互積層体は、基板の上に形成される。メモリ開口部は、交互積層体を通して形成される。環状エッチストップ材料部分は、メモリ開口部の周りの犠牲材料層の各レベルに形成される。少なくとも1つのトンネル誘電体副層および垂直半導体チャネルを含むメモリ積層体構造は、メモリ開口部内に形成される。裏面凹部は、環状エッチストップ材料部分に対して選択的に犠牲材料層を除去することによって形成される。環状エッチストップ材料部分は、窒化プロセスにより、ケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分に少なくとも部分的に変換される。少なくとも1つのトンネル誘電体副層を含むトンネル誘電体層は、電荷トラップ材料部分に接触する。導電層は、裏面凹部内に形成される。
本開示の第1実施形態による、交互の複数の絶縁層とスペーサ材料層を含む積層体の形成後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、逆階段状の誘電体材料部分と、積層体を通して延びるメモリ開口部を形成した後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第1実施形態による、メモリ積層体構造の形成後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、裏面トレンチの形成後の第1例示的な構造の垂直断面図である。
図5Aの第1例示的な構造の部分透視上面図である。垂直平面A−A’は、図5Aの垂直断面図の平面である。
本開示の第1実施形態による、裏面凹部の形成後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、組成変調電荷蓄積層、裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、組成変調電荷蓄積層、裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、組成変調電荷蓄積層、裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、組成変調電荷蓄積層、裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第1実施形態による、組成変調電荷蓄積層、裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第1例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第1実施形態による、導電層の形成後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、裏面トレンチ内から堆積された導電性材料を除去した後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、絶縁スペーサ、ソース領域、および裏面コンタクトトレンチの形成後の第1例示的な構造の垂直断面図である。
本開示の第1実施形態による、追加のコンタクトビア構造の形成後の第1例示的な構造の垂直断面図である。
本開示の第2実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第2実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第2実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第2実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第2実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第2実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第2実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第2実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第2例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第3実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第3例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第3実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第3例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第3実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第3例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第3実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第3例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第4実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第4実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第4実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第4実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第4実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第4実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第4実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第4実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第4例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第5実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第5実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第5実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第5実施形態による、インプロセスメモリ積層体構造を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。
本開示の第5実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第5実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第5実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。 本開示の第5実施形態による、連続的な電荷トラップ材料層、連続的な裏面閉塞誘電体層、および導電層を形成するために使用される様々な処理ステップ中の第5例示的な構造内のメモリ開口部の順次の垂直断面図である。
上記したように、本開示は、3次元メモリデバイスおよびその形成方法を対象とし、それらの様々な態様を以下に述べる。本開示の実施形態は、マルチレベルメモリ構造を含む様々な構造を形成するために使用することができ、そのような構造の非限定的な例は、複数のNANDメモリストリングを含む3次元モノリシックメモリアレイデバイスなどの半導体デバイスを含む。図面は、縮尺通りに描かれていない。要素の重複がないことが明示的に述べられていない限りまたは明らかに示されていない限り、要素の単一のインスタンスが示される場合に要素の複数のインスタンスが重複され得る。「第1」、「第2」、および「第3」などの序数は、単に類似の要素を識別するために使用され、本開示の明細書および特許請求の範囲にわたって様々な序数が使用され得る。本明細書で使用するとき、第2要素の「上に」位置する第1要素は、第2要素の表面の外側または第2要素の内側に位置することができる。本明細書で使用するとき、第1要素の表面と第2要素の表面との間に物理的接触が存在する場合、第1要素は、第2要素の「上に直接」位置する。
本明細書で使用するとき、「層」とは、厚さを有する領域を含む材料部分を表す。層は、下または上にある構造の全体にわたって広がっていてもよく、下または上にある構造の広がりよりも小さい広がりを有していてもよい。例えば、層は、連続的な構造の上面と底面との間の水平面、または上面および底面での水平面の任意の対間に位置していてよい。層は、水平方向に、垂直方向に、および/またはテーパ面に沿って延び得る。基板は、1層であってよく、1つ以上の層を含んでいてもよく、または上、上方、および/または下に1つ以上の層を有していてもよい。
モノリシック3次元メモリアレイは、介在する基板なく、半導体ウェハなどの単一の基板の上方に複数のメモリレベルが形成されたメモリアレイである。「モノリシック」という用語は、アレイの各レベルの層がアレイのそれぞれ下のレベルの層に直接堆積されることを意味する。対照的に、2次元アレイを別々に形成し、次いでそれらをパッケージ化して非モノリシックメモリデバイスを形成することができる。例えば、非モノリシック積層メモリは、「Three−dimensional Structure Memory」という名称の米国特許第5,915,167号明細書に記載されているように、別々の基板上にメモリレベルを形成し、メモリレベルを垂直に積層することによって構成されている。基板は、ボンディング前に薄層化されるか、またはメモリレベルから除去され得るが、最初に別々の基板の上にメモリレベルが形成されるため、そのようなメモリは真のモノリシック3次元メモリアレイではない。本開示の様々な3次元メモリデバイスは、モノリシック3次元NANDストリングメモリデバイスを含み、本明細書に記載する様々な実施形態を使用して製造することができる。
図1を参照すると、本開示の第1実施形態による第1例示的な構造が示されており、この構造は、例えば、垂直NANDメモリデバイスを含むデバイス構造を製造するために使用され得る。第1例示的な構造は基板(9、10)を含み、基板(9、10)は半導体基板であり得る。基板は、基板半導体層9を含むことができ、基板半導体層9は、下にある基板上に位置する層、または下にある基板、例えば単結晶シリコンウェハであり得る。基板半導体層9は、半導体材料層であり、少なくとも1つの元素半導体材料、少なくとも1つのIII−V族化合物半導体材料、少なくとも1つのII−VI族化合物半導体材料、少なくとも1つの有機半導体材料、または当技術分野で知られている他の半導体材料を含むことができる。基板は、主表面7を有することができ、主表面7は、例えば基板半導体層9の最上面であり得る。主表面7は半導体表面であり得る。一実施形態では、主表面7は単結晶半導体表面であり得る。半導体材料層10は、任意選択で、基板半導体層9の主面7上に位置し得る。
本明細書で使用するとき、「半導体材料」は、1.0×10−6S/cm〜1.0×10S/cmの範囲内の導電率を有する材料を表し、電気的ドーパントによる適切なドーピングによって、1.0S/cm〜1.0×10S/cmの範囲内の導電率を有するドープ材料を製造することが可能である。本明細書で使用するとき、「電気的ドーパント」は、バンド構造内の価電子帯に正孔を加えるp型ドーパント、またはバンド構造内の伝導帯に電子を加えるn型ドーパントを表す。本明細書で使用するとき、「導電性材料」は、1.0×10S/cmよりも大きい導電率を有する材料を表す。本明細書で使用するとき、「絶縁材料」または「誘電体材料」は、1.0×10−6S/cm未満の導電率を有する材料を表す。導電率に関する全ての測定は、標準状態で行われる。任意選択で、少なくとも1つのドープされたウェル(明示的に図示せず)を基板半導体層9内に形成することができる。
基板半導体層9の一部には、周辺回路のための少なくとも1つの半導体デバイスを形成することができる。少なくとも1つの半導体デバイスは、例えば電界効果トランジスタを含むことができる。例えば、基板半導体層9の一部をエッチングし、層内に誘電体材料を堆積することにより、少なくとも1つのシャロートレンチアイソレーション構造120を形成することができる。ゲート誘電体層、少なくとも1つのゲート導体層、およびゲートキャップ誘電体層を基板半導体層9の上に形成することができ、その後、パターン形成して少なくとも1つのゲート構造(150、172、174、158)を形成することができ、各ゲート構造は、ゲート誘電体150、少なくとも1つのゲート電極(172、174)、およびゲートキャップ誘電体を含むことができる。ゲート電極(172、174)は、第1ゲート電極部172と第2ゲート電極部174との積層体を含み得る。少なくとも1つのゲートスペーサ156は、誘電性ライナを堆積し、異方性エッチングすることにより、少なくとも1つのゲート構造(150、172、174、158)の周りに形成することができる。例えば、少なくとも1つのゲート構造(150、172、174、158)をマスキング構造として使用して電気的ドーパントを導入することにより、活性領域130を基板半導体層9の上部に形成することができる。必要に応じて追加のマスクを使用し得る。活性領域130は、電界効果トランジスタのソース領域およびドレイン領域を含むことができる。第1誘電性ライナ161および第2誘電性ライナ162を任意選択で形成することができる。第1および第2誘電性ライナ(161、162)は、それぞれ酸化ケイ素層、窒化ケイ素層、および/または誘電性金属酸化物層を含むことができる。本明細書で使用するとき、酸化ケイ素は、二酸化ケイ素と、ケイ素原子ごとに3個以上または1個以下の酸素原子を有する非化学量論的酸化ケイ素を含む。二酸化ケイ素が好ましい。図示の一例では、第1誘電性ライナ161は酸化ケイ素層であり、第2誘電性ライナ162は窒化ケイ素層であり得る。周辺回路のための少なくとも1つの半導体デバイスは、後に形成されるメモリデバイスのためのドライバ回路を含むことができ、ドライバ回路は、少なくとも1つのNANDデバイスを含むことができる。
少なくとも1つの半導体デバイスの上に酸化ケイ素などの誘電体材料を堆積させることができ、その後、平坦化して平坦化誘電体層170を形成することができる。一実施形態では、平坦化誘電体層170の平坦化された上面は、誘電性ライナ(161、162)の上面と同一平面内であり得る。その後、平坦化誘電体層170および誘電性ライナ(161、162)を一領域から除去して、基板半導体層9の上面を物理的に露出させることができる。
任意選択の半導体材料層10は、例えば選択的エピタキシにより、単結晶半導体材料の堆積によって基板半導体層9の上面に形成することができる。堆積された半導体材料は、基板半導体層9の半導体材料と同じまたは異なり得る。堆積された半導体材料は、単結晶シリコンなど、上述した半導体基板層9のために使用することができる任意の材料であり得る。半導体材料層10の単結晶半導体材料は、基板半導体層9の単結晶構造とエピタキシャルに整列され得る。平坦化誘電体層170の上面の上方に位置する堆積された半導体材料の部分は、例えば化学機械平坦化(CMP)によって除去することができる。この場合、半導体材料層10は、平坦化誘電体層170の上面と同一平面内にある上面を有することができる。
任意選択で、誘電体パッド層12は、半導体材料層10および平坦化誘電体層170の上方に形成することができる。誘電体パッド層12は、例えば酸化ケイ素層であり得る。誘電体パッド層12の厚さは、3nm〜30nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。一実施形態では、誘電体パッド層12は、後に形成されるソース選択ゲート電極のためのゲート誘電体として使用することができるゲート誘電体材料を含むことができる。
交互の複数の第1材料層(絶縁層32であり得る)と第2材料層(犠牲材料層42であり得る)の積層体が、例えば誘電体パッド層12の上面であり得る基板の上面の上に形成される。本明細書で使用するとき、「材料層」は、その全体にわたって材料を含む層を表す。本明細書で使用するとき、交互の複数の第1要素と第2要素とは、第1要素のインスタンス(instance)と第2要素のインスタンスが交互である構造を表す。交互の複数の末端要素ではない第1要素の各インスタンスは、両側で第2要素の2つのインスタンスによって隣接され、交互の複数の要素の末端要素ではない第2要素の各インスタンスは、両側で第1要素の2つのインスタンスによって隣接される。各々の第1要素同士は、同じ厚さを有し得るかまたは異なる厚さを有し得る。各々の第2要素同士は、同じ厚さを有し得るかまたは異なる厚さを有し得る。交互の複数の第1材料層と第2材料層は、第1材料層のインスタンスまたは第2材料層のインスタンスで始まっていてよく、また、第1材料層のインスタンスまたは第2材料層のインスタンスで終わっていてよい。一実施形態では、第1要素のインスタンスと第2要素のインスタンスは、交互の複数の要素内で周期的に繰り返されるユニットを形成することができる。
各第1材料層は、第1材料を含み、各第2材料層は、第1材料と異なる第2材料を含む。一実施形態では、各第1材料層は絶縁層32であってよく、各第2材料層は犠牲材料層であり得る。この場合、積層体は、交互の複数の絶縁層32と犠牲材料層42を含むことができ、絶縁層32と犠牲材料層42を含む交互の層のプロトタイプ積層体を構成する。本明細書で使用するとき、「プロトタイプ」構造または「インプロセス」構造は、その中の少なくとも1つの構成要素の形状または組成が後に修正される一時的構造を表す。
本明細書では、交互の複数の層の積層体は、交互積層体(32、42)と呼ばれる。一実施形態では、交互積層体(32、42)は、第1材料から構成される絶縁層32と、絶縁層32の材料と異なる第2材料から構成される犠牲材料層42を含むことができる。絶縁層32の第1材料は、少なくとも1つの絶縁材料であり得る。したがって、各絶縁層32は、絶縁材料層であり得る。絶縁層32に使用することができる絶縁材料は、限定しないが、酸化ケイ素(ドープまたは非ドープのシリケートガラスを含む)、窒化ケイ素、酸窒化ケイ素、有機ケイ酸塩ガラス(OSG)、スピンオン誘電体材料、高誘電率(high−k)誘電体酸化物として一般に知られている誘電性金属酸化物(例えば、酸化アルミニウム、酸化ハフニウムなど)およびそれらのケイ酸塩、誘電性金属酸窒化物およびそれらのケイ酸塩、ならびに有機絶縁材料を含む。一実施形態では、絶縁層32の第1材料は酸化ケイ素であり得る。
犠牲材料層42の第2材料は、絶縁層32の第1材料に対して選択的に除去することができる犠牲材料である。本明細書で使用するとき、除去プロセスが第2材料の除去速度の少なくとも2倍の速度で第1材料を除去する場合、第1材料の除去は第2材料に対して「選択的」である。本明細書では、第2材料の除去速度に対する第1材料の除去速度の比は、第2材料に対する第1材料の除去プロセスの「選択性」と呼ばれる。
犠牲材料層42は、絶縁材料、半導体材料、または導電性材料を含み得る。犠牲材料層42の第2材料は、その後、導電性電極で置換することができ、導電性電極は、例えば、垂直NANDデバイスの制御ゲート電極として機能することができる。第2材料の非限定的な例は、窒化ケイ素、非晶質半導体材料(アモルファスシリコンなど)、および多結晶半導体材料(ポリシリコンなど)を含む。一実施形態では、犠牲材料層42は、窒化ケイ素を含むスペーサ材料層、またはケイ素およびゲルマニウムの少なくとも1つを含む半導体材料であり得る。
一実施形態では、絶縁層32は酸化ケイ素を含むことができ、犠牲材料層は窒化ケイ素犠牲材料層を含むことができる。絶縁層32の第1材料は、例えば化学気相成長(CVD)によって堆積することができる。例えば、絶縁層32として酸化ケイ素が使用される場合、CVD法のための前駆体材料としてオルトケイ酸テトラエチル(TEOS)を使用することができる。犠牲材料層42の第2材料は、例えばCVDまたは原子層堆積(ALD)によって形成することができる。
犠牲材料層42は、犠牲材料層42の置換によって後に形成される導電性材料部分が、後に形成されるモノリシック3次元NANDストリングメモリデバイスの制御ゲート電極などの導電性電極として機能することができるように適切にパターン形成され得る。犠牲材料層42は、基板の主表面7と実質的に平行に延びるストリップ形状を有する部分を含むことができる。
絶縁層32および犠牲材料層42の厚さは、20nm〜50nmの範囲内であり得るが、各絶縁層32および各犠牲材料層42に関して、より小さいおよびより大きい厚さを使用することもできる。絶縁層32と犠牲材料層(例えば、制御ゲート電極または犠牲材料層)42の対の反復回数は、2〜1024、典型的には8〜256の範囲内であり得るが、より多い反復回数を使用することもできる。積層体内の上部および下部ゲート電極は、選択ゲート電極として機能し得る。一実施形態では、交互積層体(32、42)内の各犠牲材料層42は、それぞれの犠牲材料層42内で実質的に不変である均一な厚さを有することができる。
任意選択で、絶縁キャップ層70を交互積層体(32、42)の上に形成することができる。絶縁キャップ層70は、犠牲材料層42の材料と異なる誘電体材料を含む。一実施形態では、絶縁キャップ層70は、上述した絶縁層32に使用することができる誘電体材料を含むことができる。絶縁キャップ層70は、各絶縁層32よりも大きい厚さを有することができる。絶縁キャップ層70は、例えば、化学気相成長によって堆積させることができる。一実施形態では、絶縁キャップ層70は酸化ケイ素であり得る。
図2を参照すると、デバイス領域100と、周辺回路のための少なくとも1つの半導体デバイスを含む周辺領域200との間に位置するコンタクト領域300内に階段状キャビティを形成することができる。階段状キャビティは、階段状キャビティの水平断面形状が基板(9、10)の上面からの垂直距離の関数として階段状に変化するように、様々な階段状表面を有することができる。一実施形態では、処理ステップの組を反復して実施することによって階段状キャビティを形成することができる。処理ステップの組は、例えば、キャビティの深さを1レベルまたは数レベルだけ垂直方向に増加させる第1タイプのエッチングプロセスと、第1タイプの後続のエッチングプロセスで垂直方向にエッチングされるように領域を側方に広げる第2タイプのエッチングプロセスを含むことができる。本明細書で使用するとき、交互の複数を含む構造の「レベル」は、構造内の第1材料層と第2材料層との対の相対位置として定義される。
階段状キャビティの形成後、交互積層体(32、42)の周辺部分は、階段状キャビティの形成後に階段状表面を有することができる。本明細書で使用するとき、「階段状表面」は、少なくとも2つの水平面と少なくとも2つの垂直面を含む表面の組を表し、各水平面が、水平面の第1縁部から上方に延びる第1垂直面に隣接され、水平面の第2縁部から下方に延びる第2垂直面に隣接される。「階段状キャビティ」とは、階段状表面を有するキャビティを表す。
交互積層体(32、42)をパターン形成することによってテラス領域が形成される。交互積層体(32、42)内の最も上の犠牲材料層42以外の各犠牲材料層42は、交互積層体(32、42)内における任意の上に重なる犠牲材料層42よりも遠くまで側方に延びている。テラス領域は、交互積層体(32、42)内の最下層から交互積層体(32、42)内の最上層まで連続的に延びる交互積層体(32、42)の階段状表面を含む。
逆階段状の誘電体材料部分65(すなわち絶縁充填材料部分)は、階段状キャビティ内への誘電体材料の堆積によって階段状キャビティ内に形成することができる。酸化ケイ素などの誘電体材料を階段状キャビティ内に堆積することができる。堆積された誘電体材料の余剰部分は、例えば化学機械平坦化(CMP)により、絶縁キャップ層70の上面の上方から除去することができる。階段状キャビティを充填する堆積された誘電体材料の残った部分は、逆階段状の誘電体材料部分65を構成する。本明細書で使用するとき、「逆階段状の」要素は、階段状表面と、その要素が存在する基板の上面からの垂直距離の関数として単調増加する水平断面積を有する要素を表す。逆階段状の誘電体材料部分65のために酸化ケイ素が使用される場合、逆階段状の誘電体材料部分65の酸化ケイ素は、B、P、および/またはFなどのドーパントでドープされていてもよいし、ドープされていなくてもよい。
その後、絶縁キャップ層70および逆階段状の誘電体材料部分65の上に少なくとも1つのフォトレジスト層を含むリソグラフィ材料積層体(図示せず)を形成することができ、リソグラフィでパターン形成して開口部を形成することができる。パターン形成されたリソグラフィ材料積層体をエッチングマスクとして使用する少なくとも1回の異方性エッチングにより、絶縁キャップ層70と交互積層体(32、42)の全体を通してリソグラフィ材料積層体でのパターンを転写することができる。パターン形成されたリソグラフィ材料積層体の開口部の下にある交互積層体(32、42)の部分がエッチングされて、メモリ開口部49を形成する。すなわち、交互積層体(32、42)を通るパターン形成されたリソグラフィ材料積層体のパターンの転写は、交互積層体(32、42)を通して延びるメモリ開口部49を形成する。交互積層体(32、42)の材料を通してエッチングするために使用される異方性エッチングプロセスの化学反応を交互に行って、交互積層体(32、42)での第1および第2材料のエッチングを最適化することができる。異方性エッチングは、例えば、一連の反応性イオンエッチングであり得る。メモリ開口部49の側壁は、実質的に垂直であってよく、またはテーパ付きであり得る。その後、パターン形成されたリソグラフィ材料積層体は、例えばアッシングによって除去することができる。
メモリ開口部49は、メモリ開口部49が交互積層体(32、42)の上面から少なくとも半導体材料層10の上面まで延びるように誘電体パッド層12を通して形成される。一実施形態では、半導体材料層10の上面が各メモリ開口部49の底部で物理的に露出された後、任意選択で、半導体材料層10へのオーバーエッチングを行い得る。オーバーエッチングは、リソグラフィ材料積層体の除去前に行ってもよいし、除去後に行ってもよい。すなわち、半導体材料層10の凹部表面は、半導体材料層10の露出上面から凹部深さだけ垂直方向にずれ得る。凹部深さは、例えば1nm〜50nmの範囲内であり得るが、より小さいおよびより大きい凹部深さを使用することもできる。オーバーエッチングは任意選択であり、省略可能である。オーバーエッチングが行われない場合、各メモリ開口部49の底面は、半導体材料層10の最上面と同一平面内であり得る。各メモリ開口部49は、基板の最上面に実質的に垂直に延びる側壁(または複数の側壁)を含むことができる。メモリ開口部49のアレイが形成される領域は、本明細書ではデバイス領域と呼ばれる。基板半導体層9と半導体材料層10は、集合的に基板(9、10)を構成し、この基板は半導体基板であり得る。あるいは、半導体材料層10を省き、メモリ開口部49を基板半導体層9の上面まで延ばすことができる。
図3Aを参照すると、図2の例示的なデバイス構造のメモリ開口部49が示されている。メモリ開口部49は、絶縁キャップ層70、交互積層体(32、42)、誘電体パッド層12を通して延び、任意選択で半導体材料層10の上部内に延びる。半導体材料層10の上面に対する各メモリ開口部の底面の凹部深さは、0nm〜30nmの範囲内であり得るが、より大きい凹部深さを使用することもできる。任意選択で、例えば等方性エッチングによって犠牲材料層42を側方に部分的に陥凹させて、側方凹部(図示せず)を形成することができる。
図3Bを参照すると、例えば選択的エピタキシにより、各メモリ開口部49の底部に任意選択のエピタキシャルペデスタル11を形成することができる。各エピタキシャルペデスタル11は、半導体材料層10の単結晶半導体材料とエピタキシャルに整列される単結晶半導体材料を含む。一実施形態では、エピタキシャルペデスタル11は、半導体材料層10と同じ導電型の電気的ドーパントでドープすることができる。一実施形態では、各エピタキシャルペデスタル11の上面は、犠牲材料層42の上面を含む水平面の上方に形成することができる。この場合、後に、エピタキシャルペデスタル11の上面を含む水平面の下に位置する各犠牲材料層42をそれぞれの導電性材料層で置換することにより、少なくとも1つのソース選択ゲート電極を形成することができる。エピタキシャルペデスタル11は、基板(9、10)に後に形成されるソース領域と、メモリ開口部49の上部に後に形成されるドレイン領域との間に延びるトランジスタチャネルの一部であり得る。エピタキシャルペデスタル11の上方のメモリ開口部49の未充填部分にキャビティ49’が存在する。一実施形態では、エピタキシャルペデスタル11は単結晶シリコンを含むことができる。一実施形態では、エピタキシャルペデスタル11は、エピタキシャルペデスタルが接触する半導体材料層10の導電型と同じ第1導電型のドーピングを有することができる。半導体材料層10が存在しない場合、エピタキシャルペデスタル11を基板半導体層9の上に直接形成することができる。基板半導体層9は、第1導電型のドーピングを有し得る。
図3Cを参照すると、エッチストップ層53、酸素含有誘電性ケイ素化合物層54、トンネル誘電体層56、および任意選択の第1半導体チャネル層601Lを含む層の積層体をメモリ開口部49内に順次堆積することができる。
エッチストップ層53は、好ましくは、犠牲材料層42の材料と異なる材料、および絶縁層32の材料と同じ材料を含む。例えば、エッチストップ層53は、化学量論的SiOまたは非化学量論的酸化ケイ素を含む酸化ケイ素層53を含み得る。酸化ケイ素層53は、化学気相成長または原子層堆積などの共形堆積プロセスを使用して堆積することができる。酸化ケイ素層53の厚さは、1nm〜4nm、例えば1.5nm〜3nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。
酸素含有誘電性ケイ素化合物層54は、酸化ケイ素層53よりもフッ化水素酸に対するエッチング耐性が高い酸窒化ケイ素材料または酸化ケイ素材料を含む。一実施形態では、酸素含有誘電性ケイ素化合物層54の厚さは、2nm〜6nmの範囲内であり得る。本明細書で使用するとき、酸窒化ケイ素は、Si(1±δ)2(1−x)4x/3の材料組成を表し、ここで、δは、0〜0.1の範囲内(両端を含む)であり、xは、0.02よりも大きく、0.98未満である。一実施形態では、xは、0.1〜0.9の範囲内であり得る。別の実施形態では、xは、0.2〜0.8の範囲内であり得る。さらに別の実施形態では、xは、0.3〜0.7の範囲内であり得る。酸素含有誘電性ケイ素化合物層54が酸窒化ケイ素層である場合、酸窒化ケイ素層は、共形酸化ケイ素層の堆積、および熱窒化または熱酸化による酸窒化ケイ素材料への酸化ケイ素材料の部分的変換によって形成することができ、共形窒化ケイ素層の堆積、および熱酸化または熱窒化による酸窒化ケイ素材料への窒化ケイ素材料の部分的変換によって形成することができ、ケイ素、酸素、および窒素含有前駆体からの酸窒化ケイ素層の堆積によって形成することができ、または酸化ケイ素層と窒化ケイ素層との交互堆積による酸窒化ケイ素材料の堆積、および実質的に均一な材料組成を有する酸窒化ケイ素層を形成するための酸化ケイ素層と窒化ケイ素層との相互拡散とによって形成することができる。
別の実施形態では、酸化ケイ素層53は、ドープシリケートガラス(例えば、ホウケイ酸ガラス、リンケイ酸ガラス、またはホウリンケイ酸ガラス)を含むドープ酸化ケイ素層として堆積することができ、酸素含有誘電性ケイ素化合物層54は、非ドープシリケートガラスとして堆積することができる。あるいは、酸化ケイ素層53を低密度の酸化ケイ素層として形成することができ、酸素含有誘電性ケイ素化合物層54を高密度の酸化ケイ素層として形成することができる。あるいは、酸化ケイ素層53と酸素含有誘電性ケイ素化合物層54は、異なる堆積法によって形成された酸化ケイ素層であってよく、したがって、層53および54は、同じエッチング媒体に露出されたときに異なるエッチング特性を有する。使用可能な酸化ケイ素材料は、限定しないが、ジクロロシラン(DCS、HSiCl)ベースの酸化ケイ素、ジシラン(DS、Si)ベースの酸化ケイ素、TEOSを使用した高アスペクト比プロセス(HARP)非プラズマベースのCVD、オゾン源ベースの酸化ケイ素、高密度プラズマ(HDP)CVDベースの酸化ケイ素、オルトケイ酸テトラエチル(TEOS)ベースの酸化ケイ素、およびホウケイ酸ガラス(BSG)またはホウリンケイ酸ガラス(BPSG)を含む。酸化ケイ素材料の選択的エッチングは、化学的ドライエッチングまたはウェットエッチング技法によって行い得る。選択的ドライエッチング技法で使用するのに適した例示的な酸化ケイ素の組合せを以下の表1に要約し、ウェットエッチング技法で使用するのに適した組合せを以下の表2に要約する。以下の表3には、上述した酸化ケイ素材料の堆積に適したプロセスパラメータ(すなわち、反応器タイプ、温度、圧力、反応ガス、および流量比)を要約する。
Figure 2019510362
Figure 2019510362
Figure 2019510362
一実施形態では、犠牲材料層42と絶縁層32とは、垂直方向に一致する側壁を有することができ、酸素含有誘電性ケイ素化合物層54は、単一連続層として形成することができる。本明細書で使用するとき、第1表面と第2表面との両方を含む垂直平面が存在する場合、第1表面は、第2表面と「垂直方向に一致」する。そのような垂直平面は、水平曲率を有していてもよいし有していなくてもよいが、垂直方向に沿っていかなる曲率も含まず、すなわち上下に直線に延びている。別の実施形態では、酸化ケイ素層53の形成前に、絶縁層32の側壁に対して犠牲材料層42を側方に陥凹させることができ、酸素含有誘電性ケイ素化合物層54は、犠牲材料層42の各レベルで外側へ側方に突出する単一連続材料として形成することができる。
トンネル誘電体層56は誘電体材料を含み、それを通して適切な電気ビアス条件下で電荷トンネリングを行うことができる。電荷トンネリングは、形成されるモノリシック3次元NANDストリングメモリデバイスの動作モードに応じて、ホットキャリア注入またはファウラー・ノルドハイム(Fowler−Nordheim)トンネル誘起電荷移動によって行うことができる。トンネル誘電体層56は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、誘電性金属酸化物(酸化アルミニウムおよび酸化ハフニウムなど)、誘電性金属酸窒化物、誘電性金属ケイ酸塩、それらの合金、および/またはそれらの組合せを含むことができる。一実施形態では、トンネル誘電体層56は、一般にONO積層体として知られている第1酸化ケイ素層、酸窒化ケイ素層、および第2酸化ケイ素層の積層体を含むことができる。一実施形態では、トンネル誘電体層56は、実質的に炭素を含まない酸化ケイ素層または実質的に炭素を含まない酸窒化ケイ素層を含むことができる。トンネル誘電体層56の厚さは、2nm〜20nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。
任意選択の第1半導体チャネル層601Lは、少なくとも1つの元素半導体材料、少なくとも1つのIII−V族化合物半導体材料、少なくとも1つのII−VI族化合物半導体材料、少なくとも1つの有機半導体材料、または当技術分野で知られている他の半導体材料を含む。一実施形態では、第1半導体チャネル層601Lは、アモルファスシリコンまたはポリシリコンを含む。第1半導体チャネル層601Lは、低圧化学気相成長(LPCVD)などの共形堆積法(conformal deposition method)によって形成することができる。第1半導体チャネル層601Lの厚さは、2nm〜10nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。キャビティ49’は、堆積材料層(53、54、56、601L)で充填されていない各メモリ開口部49の体積内に形成される。
図3Dを参照すると、任意選択の第1半導体チャネル層601L、トンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、酸化ケイ素層53は、少なくとも1回の異方性エッチングプロセスを使用して順次に異方性エッチングされる。絶縁キャップ層70の上面の上方に位置する第1半導体チャネル層601L、トンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、および酸化ケイ素層53の部分は、少なくとも1回の異方性エッチングプロセスによって除去することができる。さらに、各キャビティ49’の底部での第1半導体チャネル層601L、トンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、および酸化ケイ素層53の水平部分を除去して、その残った部分に開口部を形成することができる。第1半導体チャネル層601L、トンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、および酸化ケイ素層53は、それぞれ異方性エッチングプロセスによってエッチングすることができる。
第1半導体チャネル層601Lの残った各部分は、第1半導体チャネル部分601を構成する。酸化ケイ素層53の残った部分は、酸素含有誘電性ケイ素化合物層54の外側壁に接触する垂直部分と、エピタキシャルチャネル部分11(またはエピタキシャルチャネル部分11が形成されない場合には半導体材料層10の上面)に接触する環状部分を含む。層53の残った部分は垂直エッチストップ層を含む。
エピタキシャルチャネル部分11の表面(またはエピタキシャルチャネル部分11が使用されない場合には半導体材料層10の表面)は、第1半導体チャネル部分601、トンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、および酸化ケイ素層53を通る開口部の下で物理的に露出させることができる。任意選択で、各キャビティ49’の底部で物理的に露出された半導体表面を垂直方向に陥凹させ、キャビティ49’の下の陥凹された半導体表面を、エピタキシャルチャネル部分11の(またはエピタキシャルチャネル部分11が使用されない場合には半導体材料層10の)最上面から凹部距離だけ垂直方向にずらすことができる。トンネル誘電体層56は、酸素含有誘電性ケイ素化合物層54上に位置する。メモリ開口部49内の酸化ケイ素層53、酸素含有誘電性ケイ素化合物層54、およびトンネル誘電体層56の組はメモリ被膜50を構成し、メモリ被膜50は、酸化ケイ素層53およびトンネル誘電体層56によって周りの材料から絶縁された複数の電荷蓄積領域(酸素含有誘電性ケイ素化合物層54として具現化される)を含む。一実施形態では、第1半導体チャネル部分601、トンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、および酸化ケイ素層53は、垂直方向に一致する側壁を有することができる。
図3Eを参照すると、第2半導体チャネル層602Lは、エピタキシャルチャネル部分11、またはエピタキシャルチャネル部分11が省かれる場合には半導体材料層10の半導体表面に直接堆積することができ、第1半導体チャネル部分601にも直接堆積することができる。第2半導体チャネル層602Lは、少なくとも1つの元素半導体材料、少なくとも1つのIII−V族化合物半導体材料、少なくとも1つのII−VI族化合物半導体材料、少なくとも1つの有機半導体材料、または当技術分野で知られている他の半導体材料を含む。一実施形態では、第2半導体チャネル層602Lは、アモルファスシリコンまたはポリシリコンを含む。第2半導体チャネル層602Lは、低圧化学気相成長(LPCVD)などの共形堆積法によって形成することができる。第2半導体チャネル層602Lの厚さは、2nm〜10nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。第2半導体チャネル層602Lは、各メモリ開口部内のキャビティ49’を部分的に充填してよく、または各メモリ開口部内のキャビティを完全に充填してもよい。
第1半導体チャネル部分601および第2半導体チャネル層602Lの材料は、まとめて半導体チャネル材料と呼ばれる。すなわち、半導体チャネル材料は、第1半導体チャネル部分601および第2半導体チャネル層602L内の全ての半導体材料の組である。
図3Fを参照すると、各メモリ開口部内のキャビティ49’が第2半導体チャネル層602Lによって完全には充填されていない場合、キャビティ49’内に誘電体コア層62Lを堆積させて、各メモリ開口部内のキャビティ49’の任意の残った部分を充填することができる。誘電体コア層62Lは、酸化ケイ素または有機ケイ酸塩ガラスなどの誘電体材料を含む。誘電体コア層62Lは、低圧化学気相成長(LPCVD)などの共形堆積法、またはスピンコーティングなどの自己平坦化堆積法によって堆積することができる。
図3Gを参照すると、誘電体コア層62Lの水平部分は、例えば絶縁キャップ層70の上面の上方からのリセスエッチングによって除去することができる。誘電体コア層62Lの残った各部分は、誘電体コア62を構成する。さらに、絶縁キャップ層70の上面の上方に位置する第2半導体チャネル層602Lの水平部分は、リセスエッチングまたは化学機械平坦化(CMP)を使用することができる平坦化プロセスによって除去することができる。メモリ開口部内の第2半導体チャネル層602Lの残った各部分は、第2半導体チャネル部分602を構成する。
第1半導体チャネル部分601と第2半導体チャネル部分602との隣接する各対は、垂直半導体チャネル60を集合的に形成することができ、垂直半導体チャネル60を含む垂直NANDデバイスがオンに切り替えられたとき、垂直半導体チャネル60を通して電流が流れることができる。トンネル誘電体層56は、酸素含有誘電性ケイ素化合物層54によって取り囲まれ、垂直半導体チャネル60の一部分を側方で取り囲んでいる。酸化ケイ素層53、酸素含有誘電性ケイ素化合物層54、およびトンネル誘電体層56の隣接する各組が集合的にメモリ被膜50を形成し、メモリ被膜50は、巨視的な保持時間で電荷を蓄積することができる。本明細書で使用するとき、巨視的な保持時間は、24時間を超える保持時間など、永久メモリデバイスとしてのメモリデバイスの動作に適した保持時間を表す。
図3Hを参照すると、例えば、絶縁キャップ層70の上面と絶縁キャップ層70の底面との間の深さまでのリセスエッチングにより、各メモリ開口部内で各誘電体コア62の上面をさらに陥凹させることができる。ドープされた半導体材料を誘電体コア62の上方の各陥凹領域内に堆積することによってドレイン領域63を形成することができる。ドープされた半導体材料は、例えばドープされたポリシリコンであり得る。堆積された半導体材料の過剰部分を、例えば化学機械平坦化(CMP)またはリセスエッチングによって絶縁キャップ層70の上面の上方から除去して、ドレイン領域63を形成することができる。
メモリ開口部49内のメモリ被膜50と垂直半導体チャネル60との各組合せが、メモリ積層体構造55を構成する。メモリ積層体構造55は、後に修正されるインプロセスメモリ積層体構造である。例示的なメモリ積層体構造55は、図2に示される第1例示的な構造に埋め込むことができる。図4は、図3Hの例示的なメモリ積層体構造の複数のインスタンスを組み込む第1例示的な構造を示す。例示的なメモリ積層体構造55は、それぞれ垂直半導体チャネル60(例えば、601、602)、垂直半導体チャネル60(例えば、601、602)を側方に取り囲むトンネル誘電体層56、酸素含有誘電性ケイ素化合物層54、および酸化ケイ素層53を含む。第1例示的な構造は、半導体基板の上に(例えば、半導体材料10の上に)位置する交互の複数の材料層(例えば、犠牲材料層42)と絶縁層32を含む積層体(32、42)と、積層体(32、42)を通して延びるメモリ開口部を含む半導体デバイスを含む。半導体デバイスは、酸化ケイ素層53をさらに含み、酸化ケイ素層53は、積層体の最下層(例えば、最も下の犠牲材料層42)から積層体の最上層(例えば、最も上の犠牲材料層42)まで垂直方向に延び、メモリ開口部の側壁および半導体基板の水平面に接触する。各メモリ積層体構造55は、それぞれのメモリ開口部49内のエピタキシャルチャネル部分11の上面に形成することができる。
図5Aおよび5Bを参照すると、逆階段状の誘電体材料部分65を通して、および/または絶縁キャップ層70を通して、および/または交互積層体(32、42)を通して任意選択で少なくとも1つの支持ピラー7Pを形成することができる。図5Bでの平面A−A’は、図5Aの概略垂直断面図の平面に対応する。一実施形態では、少なくとも1つの支持ピラー7Pをコンタクト領域300内に形成することができ、コンタクト領域300は、メモリアレイ領域100に隣接して位置する。例えば、逆階段状の誘電体材料部分65を通して、および/または交互積層体(32、42)を通して少なくとも基板(9、10)の上面まで延びる開口部を形成し、この開口部に、犠牲材料層42を除去するために使用されるエッチング化学物質に耐性のある材料を充填することにより、少なくとも1つの支持ピラー7Pを形成することができる。
一実施形態では、少なくとも1つの支持ピラー7Pは、ダミーメモリ積層体構造を含み、ダミーメモリ積層体構造は、メモリ積層体構造55と同時に形成されるメモリ被膜50、半導体チャネル60、およびコア誘電体62を含む。しかし、ダミーメモリ積層体構造7Pは、ビット線に電気的に接続されず、NANDストリングとしてではなく支持ピラーとして使用される。別の実施形態では、少なくとも1つの支持ピラー7Pは、酸化ケイ素などの絶縁材料および/または酸化アルミニウムなどの誘電性金属酸化物を含むことができる。この実施形態では、少なくとも1つの支持ピラー7Pの堆積と同時に絶縁キャップ層70の上に堆積される誘電体材料の部分が、コンタクトレベル誘電体層73として絶縁キャップ層70の上に存在することができる。少なくとも1つの支持ピラー7Pおよびコンタクトレベル誘電体層73は、それぞれ任意選択の構造である。したがって、コンタクトレベル誘電体層73は、絶縁キャップ層70および逆階段状の誘電体材料部分65の上に存在していてもよいし存在していなくてもよい。あるいは、コンタクトレベル誘電体層73の形成は省略することができ、後に、すなわち裏面コンタクトビア構造の形成後に少なくとも1つのビアレベル誘電体層を形成し得る。
コンタクトレベル誘電体層73および少なくとも1つの誘電体支持ピラー7Pは、一体構造の単一連続構造として、すなわち材料界面を間に介さずに形成することができる。別の実施形態では、少なくとも1つの誘電体支持ピラー7Pの堆積と同時に絶縁キャップ層70の上に堆積される誘電体材料の部分は、例えば化学機械平坦化またはリセスエッチングによって除去することができる。この場合、コンタクトレベル誘電体層73が存在せず、絶縁性キャップ層70の上面を物理的に露出させることができる。
代替実施形態では、メモリ積層体構造55を形成するために使用される処理ステップ中に少なくとも1つの誘電体支持ピラー7Pを形成することができる。例えば、メモリ開口部49の形成と同時に、交互積層体(32、42)を通して、および任意選択で逆階段状の誘電体材料部分65を通してコンタクト領域300内に支持開口部(図示せず)を形成することができる。支持開口部の下側部分には、メモリ開口部49内へのエピタキシャルチャネル部分11の形成と同時に形成される追加のエピタキシャル材料部分を充填することができ、支持開口部の上側部分には、メモリ被膜50、半導体チャネル60、誘電体コア62、およびドレイン領域63の形成と同時に形成される支持ピラー構造を充填することができる。各支持ピラー構造は、メモリ被膜50および垂直半導体チャネル60と同一の層積層体のインスタンスと、誘電体コア62と同じ材料組成を有する誘電体材料部分のインスタンスと、ドレイン領域63と同じ材料組成を有するダミードレイン領域を含むことができる。
交互積層体(32、42)の上にフォトレジスト層(図示せず)を塗布することができ、フォトレジスト層は、リソグラフィでパターン形成されて、裏面コンタクトビア構造の形成が望まれる各領域に少なくとも1つの細長い開口部を形成する。異方性エッチングを使用して、フォトレジスト層内のパターンを、交互積層体(32、42)および/または逆階段状の誘電体材料部分65を通して転写して、少なくとも基板(9、10)の上面まで延びる少なくとも1つの裏面コンタクトトレンチ79を形成することができる。一実施形態では、少なくとも1つの裏面コンタクトトレンチ79は、ソースコンタクト開口部を含むことができ、ソースコンタクト開口部内にソースコンタクトビア構造を後に形成することができる。
図6および7Aを参照すると、例えばエッチングプロセスを使用して、絶縁層32の第1材料に対して犠牲材料層42の第2材料を選択的にエッチングするエッチャントを少なくとも1つの裏面トレンチ79に導入することができる。図7Aは、図6の第1例示的な構造内のメモリ積層体構造55の周りの領域を示す。裏面凹部43は、犠牲材料層42が除去された体積内に形成される。犠牲材料層42の第2材料の除去は、絶縁層32の第1材料、少なくとも1つの誘電体支持ピラー7Pの材料、逆階段状の誘電体材料部分65の材料、半導体材料層10の半導体材料、および各メモリ被膜50内の酸化ケイ素層53の材料に対して選択的であり得る。一実施形態では、犠牲材料層42は窒化ケイ素を含むことができ、絶縁層32、少なくとも1つの誘電体支持ピラー7P、および逆階段状の誘電体材料部分65の材料は、酸化ケイ素および誘電性金属酸化物から選択することができる。別の実施形態では、犠牲材料層42は、ポリシリコンなどの半導体材料を含むことができ、絶縁層32、少なくとも1つの誘電体支持ピラー7P、エッチストップ層53、および逆階段状の誘電体材料部分65の材料は、酸化ケイ素、窒化ケイ素、および誘電性金属酸化物から選択することができる。この場合、少なくとも1つの裏面トレンチ79の最下面が誘電体パッド層12内に位置するように、すなわち半導体材料層10の上面の物理的露出を避けるために、少なくとも1つの裏面トレンチ79の深さを修正することができる。
絶縁層32およびメモリ被膜50の酸化ケイ素層53の第1材料に対して選択的に犠牲材料層42の第2材料を除去するエッチングプロセスは、ウェットエッチング溶液を使用するウェットエッチングプロセスであってよく、またはエッチャントが蒸気相で少なくとも1つの裏面トレンチ79に導入される気相(ドライ)エッチングプロセスであり得る。例えば、犠牲材料層42が窒化ケイ素を含む場合、エッチングプロセスはウェットエッチングプロセスであってよく、第1例示的な構造は、リン酸を含むウェットエッチングタンク内に浸漬され、リン酸は、酸化ケイ素、ケイ素、または当技術分野で使用される様々な他の材料に対して選択的に窒化ケイ素をエッチングする。少なくとも1つの誘電体支持ピラー7P、逆階段状の誘電体材料部分65、およびメモリ積層体構造55が構造支持体を提供し、裏面凹部43は、犠牲材料層42が以前に占めていた体積内に存在する。側方エッチングは、エッチストップ層53で停止される。
各裏面凹部43は、キャビティの垂直方向の広がりよりも大きい側方寸法を有する側方に延びるキャビティであり得る。すなわち、各裏面凹部43の側方寸法は、裏面凹部43の高さよりも大きくすることができる。犠牲材料層42の第2材料が除去された体積内に複数の裏面凹部43を形成することができる。メモリ積層体構造55が形成されるメモリ開口部は、本明細書では、裏面凹部43と対照的に、表面凹部または表面キャビティと呼ばれる。一実施形態では、メモリデバイス領域100は、基板(9、10)の上方に配設された複数のメモリ積層体構造55および複数のデバイスレベルを有するモノリシック3次元NANDストリングのアレイを含む。この場合、各裏面凹部43は、モノリシック3次元NANDストリングのアレイのそれぞれのワードラインを受け取るための空間を画定することができる。
複数の裏面凹部43は、それぞれ基板(9、10)の上面に実質的に平行に延びることができる。裏面凹部43は、下にある絶縁層32の上面および上にある絶縁層32の底面によって垂直方向に境界を定めることができる。一実施形態では、各裏面凹部43は、全体にわたって均一な高さを有することができる。任意選択で、裏面閉塞誘電体層を裏面凹部内に形成することができる。
図7Bを参照すると、スペーサ材料層、すなわち犠牲材料層42の除去後、裏面凹部43の各レベルにおいて、酸素含有誘電性ケイ素化合物層54に対して選択的に、酸化ケイ素層53の物理的に露出された部分をエッチングすることができる。例えば、希釈フッ化水素酸を使用するウェットエッチングなどの等方性エッチングを使用して、裏面凹部43のレベルに位置する酸化ケイ素層53の部分を除去することができる。一実施形態では、酸素含有誘電性ケイ素化合物層54内の窒素含有量は、酸化ケイ素層53内の酸化ケイ素に対して十分なエッチング選択性を提供し、かつ酸素含有誘電性ケイ素化合物層54の酸素含有誘電性ケイ素化合物材料の任意の実質的なエッチングを防止するレベルであり得る。一実施形態では、酸素含有誘電性ケイ素化合物層54の組成は、Si(1±δ)2(1−x)4x/3であってよい。ここで、δは、0〜0.1の範囲内(両端を含む)であり、xは、0.2よりも大きく1.0未満の範囲である。
側方凹部43のレベルから酸化ケイ素層53の部分を除去すると、酸素含有誘電性ケイ素化合物層54の外側壁は、裏面凹部43の各レベルで物理的に露出される。絶縁層32が酸化ケイ素を含む場合、裏面凹部43のレベルでの酸化ケイ素層53の部分をエッチング中、絶縁層32の表面部分を副次的に陥凹させて、各裏面凹部43の体積を拡大することができる。酸化ケイ素層53の残りの各部分は、環状酸化ケイ素部分53’である。環状酸化ケイ素部分53’は、絶縁層32の各レベルに位置し得る。一実施形態では、環状酸化ケイ素部分53’は、それぞれの酸素含有誘電性ケイ素化合物部分54’を側方で取り囲むことができ、それぞれの絶縁層32によって側方で取り囲まれることができる。一実施形態では、絶縁層32は、前駆体としてTEOSを使用する化学気相成長によって堆積させることができ、環状酸化ケイ素部分53’よりも高い濃度で炭素を含み、環状酸化ケイ素部分53’は、原子層堆積を使用してまたは別の化学気相成長プロセスにおいて、より小さい炭素含有量で堆積することができる酸化ケイ素層から誘導される。
図7Cを参照すると、絶縁層32の表面部分と、裏面凹部43のレベルに位置する酸素含有誘電性ケイ素化合物層54の部分とから連続的な窒化ケイ素層154を形成することができる。具体的には、絶縁層32の物理的に露出された表面部分と、酸素含有誘電性ケイ素化合物層54の部分を連続的な窒化ケイ素層154に同時に変換することができ、この窒化ケイ素層154は、最も下の絶縁層32から最も上の絶縁層32まで延びる連続的な窒化ケイ素材料部分である。窒化プロセスにより、絶縁層32の表面部分および酸素含有誘電性ケイ素化合物層54の部分を連続的な窒化ケイ素層154の窒化ケイ素部分に変換することができる。窒化は、熱窒化プロセスおよびプラズマ窒化プロセスから選択されるプロセスから選択することができる。
一実施形態では、窒化ケイ素層154の水平部分254は、窒化ケイ素層154の垂直部分354よりも低い窒素含有量を有し得る。したがって、水平部分254は、酸素ドープ窒化ケイ素を含んでよく、垂直部分354は、不可避の酸素濃度を有する窒化ケイ素、または水平部分よりも低い酸素含有量を有する酸素ドープ窒化ケイ素を含み得る。この場合、裏面凹部43のレベルでの酸素含有誘電性ケイ素化合物層54の垂直部分354を窒化ケイ素に変換する速度は、絶縁層32の表面部分を窒化ケイ素に(例えば、水平部分254への、およびトレンチ79内に露出された絶縁層32の裏側での追加の垂直部分に)変換する速度よりも高くすることができる。酸素含有誘電性ケイ素化合物層54から誘導される連続的な窒化ケイ素層154の垂直部分354の厚さは、絶縁層32の表面部分から誘導される連続的な窒化ケイ素層154の水平部分254よりも大きいかまたは実質的に同じであり得る。一実施形態では、連続的な窒化ケイ素層154の垂直部分354は、1nm〜10nm、例えば2nm〜6nmの範囲内の厚さを有することができる。裏面凹部43の上または下にある連続的な窒化ケイ素層154の水平部分254は、連続的な窒化ケイ素層154の垂直部分の厚さの20%〜80%の範囲内であり得る。
窒化ケイ素層154の垂直部分354に変換される酸素含有誘電性ケイ素化合物層54の部分は、メモリデバイスの電荷蓄積要素である。酸素含有誘電性ケイ素化合物層54の残った部分は、絶縁層32のレベルに位置し、垂直方向に互いに離間された酸素含有誘電性ケイ素化合物部分54’を形成する。裏面凹部43のレベルでのトンネル誘電体層56の上の窒化ケイ素層154の垂直凹部と、絶縁層32のレベルに位置する酸素含有誘電性ケイ素化合物部分54’とが集合的に組成変調電荷蓄積層540を構成する。組成変調電荷蓄積層540に電荷を保持できる能力は、層内の窒素濃度と共に垂直方向に変調する。したがって、組成変調電荷蓄積層540の窒化ケイ素部分(トンネル誘電体層56に接触する連続的な窒化ケイ素層154の垂直部分)は、組成変調電荷蓄積層540の酸素含有誘電性ケイ素化合物部分54’よりも電荷キャリア(電子または正孔など)を捕捉する能力が大きい。
一実施形態では、メモリ積層体構造55の底部にエピタキシャルチャネル部分11を提供することができる。エピタキシャルチャネル部分11は、基板(9、10)内の別の単結晶半導体材料(例えば、単結晶シリコン)にエピタキシャルに整列される単結晶半導体材料(例えば、単結晶シリコン)を含む。連続的な窒化ケイ素層154の一部分は、エピタキシャルチャネル部分11の側壁に接触することができる。
図7Dを参照すると、例えば1つ以上の共形堆積法を使用して、連続的な窒化ケイ素層154の上に少なくとも1つの裏面閉塞誘電体層(153、152)を形成することができる。例えば、連続的な酸化ケイ素層153および連続的な誘電性金属酸化物層152を、裏面凹部43内、裏面トレンチ79の側壁の上、およびコンタクトレベル誘電体層73の上に順次に形成することができる。連続的な酸化ケイ素層153は、1nm〜6nmの範囲内の厚さを有し得るが、より小さいおよびより大きい厚さを使用することもできる。連続的な誘電性金属酸化物層152は、誘電性金属酸化物、例えば酸化アルミニウム(Al)、酸化ハフニウム(HfO)、酸化ランタン(LaO)、酸化イットリウム(Y)、酸化タンタル(Ta)、それらのケイ酸塩、それらの窒素ドープ化合物、それらの合金、またはそれらの積層体を含む。連続的な誘電性金属酸化物層152は、1nm〜6nmの範囲内の厚さを有し得るが、より小さいおよびより大きい厚さを使用することもできる。連続的な窒化ケイ素層154と連続的な酸化ケイ素層153との層積層体は、集合的に裏面閉塞誘電体層(152、153)を構成する。
図7Eおよび8を参照すると、裏面凹部43の未充填体積内、少なくとも1つの裏面コンタクトトレンチ79の側壁の上、およびコンタクトレベル誘電体層73の上面の上に少なくとも1つの金属材料を堆積させることができる。本明細書で使用するとき、金属材料は、少なくとも1つの金属元素を含む導電性材料を表す。
金属材料は共形堆積法によって堆積することができ、そのような堆積法は、例えば化学気相成長(CVD)、原子層堆積(ALD)、無電解めっき、電気めっき、またはそれらの組合せであり得る。金属材料は、元素金属、少なくとも2つの元素金属の金属間合金、少なくとも1つの元素金属の導電性窒化物、導電性金属酸化物、導電性ドープ半導体材料、金属ケイ化物などの導電性金属−半導体合金、それらの合金、およびそれらの組合せまたは積層体であり得る。複数の裏面凹部43に堆積することができる非限定の例示的な金属材料は、タングステン、窒化タングステン、チタン、窒化チタン、タンタル、窒化タンタル、コバルト、およびルテニウムを含む。一実施形態では、金属材料は、タングステンおよび/または金属窒化物などの金属を含むことができる。一実施形態では、複数の裏面凹部43を充填するための金属材料は、窒化チタン層とタングステン充填材料との組合せであり得る。一実施形態では、金属材料は、化学気相成長によって堆積することができる。
複数の裏面凹部43に複数の導電層46を形成することができ、各裏面コンタクトトレンチ79の側壁上、およびコンタクトレベル誘電体層73の上に連続的な金属材料層46Lを形成することができる。したがって、各犠牲材料層42を導電層46で置換することができる。裏面閉塞誘電体層(152、153)、連続的な窒化ケイ素層154、および連続した金属材料層46Lで充填されていない各裏面コンタクトトレンチ79の部分に裏面キャビティ79’が存在する。
図9を参照すると、連続した導電性材料層46Lの堆積された金属材料は、例えば異方性または等方性エッチングにより、各裏面コンタクトトレンチ79の側壁およびコンタクトレベル誘電体層73の上方からエッチバックされる。裏面凹部43内の堆積された金属材料の残った各部分は、導電層46を構成する。各導電層46は導電性ライン構造であり得る。したがって、犠牲材料層42が導電層46で置換される。
各導電層46は、同じレベルに位置する複数の制御ゲート電極と、同じレベルに位置する複数の制御ゲート電極を電気的に相互接続する、すなわち、電気的に短絡するワードラインとの組合せとして機能することができる。各導電層46内の複数の制御ゲート電極は、メモリ積層体構造55を含む垂直メモリデバイスのための制御ゲート電極である。すなわち、各導電層46は、複数の垂直メモリデバイスのための共通の制御ゲート電極として機能するワードラインであり得る。
図10を参照すると、共形絶縁材料層の堆積と、共形絶縁材料層の水平部分を除去する異方性エッチングとにより、絶縁スペーサ74を形成することができる。ソース領域61は、絶縁スペーサ74を通る開口部の下にある半導体材料層10の表面部分に電気的ドーパントを注入することにより、各キャビティ79’の底部に形成することができる。各ソース領域61は、絶縁スペーサ74を通るそれぞれの開口部の下にある基板(9、10)の表面部分に形成される。注入プロセス中の注入されたドーパント原子の散在、および後続の活性化アニールプロセス中の注入されたドーパント原子の側方拡散により、各ソース領域61は絶縁スペーサ74の底面に接触することができる。
コンタクトビア構造76を各キャビティ79’内に形成することができる。各コンタクトビア構造76は、それぞれのキャビティ79’を充填することができる。各コンタクトビア構造76は、それぞれの裏面コンタクトトレンチ79内に位置する絶縁スペーサ74の内部に形成することができる。コンタクトビア構造76は、裏面コンタクトトレンチ79の残った各未充填体積(すなわちキャビティ79’)内に少なくとも1つの導電性材料を堆積することによって形成することができる。例えば、少なくとも1つの導電性材料は、導電性ライナ76Aおよび導電性充填材料部分76Bを含むことができる。導電性ライナ76Aは、TiN、TaN、WN、TiC、TaC、WC、それらの合金、またはそれらの積層体などの導電性金属ライナを含むことができる。導電性ライナ76Aの厚さは3nm〜30nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。導電性充填材料部分76Bは、金属または金属合金を含むことができる。例えば、導電性充填材料部分76Bは、W、Cu、Al、Co、Ru、Ni、それらの合金、またはそれらの積層体を含むことができる。あるいは、部分76Aおよび76Bは、Wなどの金属、およびドープされたポリシリコンを含むことができる。
少なくとも1つの導電性材料は、例えば化学機械平坦化(CMP)によって平坦化することができる。裏面コンタクトトレンチ79内の少なくとも1つの導電性材料の残った連続的な各部分は、裏面コンタクトビア構造76を構成する。各コンタクトビア構造76は、ソース領域61の上面に直接形成することができる。
図11を参照すると、コンタクトレベル誘電体層73を通して、および任意選択で逆階段状の誘電体材料部分65を通して追加のコンタクトビア構造(88、86、8A、8G)を形成することができる。例えば、コンタクトレベル誘電体層73を通してドレインコンタクトビア構造88を各ドレイン領域63上に形成することができる。その後、ビット線(図示せず)が構造88と電気的に接触して形成される。コンタクトレベル誘電体層73を通して、および逆階段状の誘電体材料部分65を通してワードラインコンタクトビア構造86を導電層46上に形成することができる。逆階段状の誘電体材料部分65を通して、周辺ゲートコンタクトビア構造8Gおよび周辺アクティブ領域コンタクトビア構造8Aを周辺デバイスのそれぞれのノード上に直接形成することができる。
本開示の第1例示的な構造は、3次元メモリデバイスを含む。3次元メモリデバイスは、基板(9、10)の上に位置する絶縁層32と導電層46との交互積層体と、交互積層体(32、42)を通して延び、かつ組成変調電荷蓄積層540、トンネル誘電体層56、および垂直半導体チャネル60を含むメモリ積層体構造55を含むことができる。組成変調電荷蓄積層540は、窒化ケイ素部分(すなわち、連続的な窒化ケイ素層154の垂直部分)と酸素含有誘電性ケイ素化合物部分54’の垂直交互積層体を含む。
一実施形態では、組成変調電荷蓄積層540の各窒化ケイ素部分は、導電層46の最も下のレベルから導電層46の最も上のレベルまで延びる連続的な窒化ケイ素層154の垂直部分であり得る。一実施形態では、連続的な窒化ケイ素層の水平部分は、組成変調電荷蓄積層540の窒化ケイ素部分よりも小さい厚さを有し得る。
一実施形態では、少なくとも1つの裏面閉塞誘電体層(152、153)は、連続的な窒化ケイ素層154と各導電層46の間に位置し得る。一実施形態では、少なくとも1つの裏面閉塞誘電体層(152、153)は、連続的な酸化ケイ素層153と連続的な誘電性金属酸化物層152の積層体を含むことができる。
一実施形態では、絶縁層32は酸化ケイ素を含むことができ、および連続的な窒化ケイ素層154と絶縁層32の水平部分間の各水平界面領域は、窒化プロセスによって誘起される垂直窒素濃度勾配を有することができる。これは、絶縁層32への窒素原子の拡散が確率論的であり、有限の窒素濃度勾配を有する有限厚さ領域を形成するからである。
一実施形態では、トンネル誘電体層56は、組成変調電荷蓄積層540の実質的に垂直な内側壁に接触することができ、組成変調電荷蓄積層540は、酸素含有誘電性ケイ素化合物部分54’の内側壁と、連続的な窒化ケイ素層154の窒化ケイ素部分354の内側壁を含む。一実施形態では、酸素含有誘電性ケイ素化合物部分54’は、窒化ケイ素部分354によって互いに垂直方向に離間され、かつ絶縁層32の各レベルに位置する離散構造であり得る。
一実施形態では、窒化ケイ素部分354は、導電層46の各レベルに位置することができ、および酸素含有誘電性ケイ素化合物部分54’は、絶縁層32の各レベルに位置することができる。一実施形態では、酸化ケイ素部分53’の内側壁は、酸素含有誘電性ケイ素化合物部分54’の内側壁と垂直方向に一致することができる。一実施形態では、酸素含有誘電性ケイ素化合物部分54’のうちの少なくとも1つの最も下の酸素含有誘電性ケイ素化合物部分54’は、垂直半導体チャネル60の外側壁(例えば、第2垂直半導体チャネルの外面)に接触し、および少なくとも1つの最も下の酸素含有誘電性ケイ素化合物部分54’を除く全ての酸素含有誘電性ケイ素化合物部分54’は、トンネル誘電体層56によって垂直半導体チャネル60から側方に離間され得る。
一実施形態では、本開示のメモリデバイスは、基板(9、10)の上に位置する垂直NANDデバイスを含むモノリシック3次元メモリデバイスであってよく、導電層46は、垂直NANDデバイスのそれぞれのワードラインを含み得るか、またはそれに電気的に接続され得る。基板(9、10)は、シリコン基板を含むことができる。垂直NANDデバイスは、シリコン基板の上に位置するモノリシック3次元NANDストリングのアレイを含むことができる。NANDストリングの3次元アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、NANDストリングの3次元アレイの第2デバイスレベルでの別のメモリセルの上に位置する。シリコン基板は、集積回路であって、その上に位置するメモリデバイスのためのドライバ回路を含む集積回路を含むことができる。
モノリシック3次元NANDストリングのアレイは、複数の半導体チャネル60(例えば、601、602)を含むことができる。複数の半導体チャネル60(例えば、601、602)のそれぞれの少なくとも1つの端部は、基板(9、10)の上面に実質的に垂直に延びている。一実施形態では、複数の半導体チャネルは、ソース領域61とエピタキシャルチャネル部分11の間の半導体材料層10の一部である共通の水平半導体チャネル部分と、エピタキシャルチャネル部分11と、メモリ積層体構造55の一部である垂直半導体チャネル60に並列接続で接続することができる。モノリシック3次元NANDストリングのアレイは、複数の電荷蓄積要素(各メモリ積層体構造55内にある酸素含有誘電性ケイ素化合物層54の区域として具現化することができる)を含むことができる。各電荷蓄積要素は、複数の半導体チャネルのそれぞれの1つに隣接して、すなわちそれぞれの垂直半導体チャネル60(例えば、601、602)に隣接して位置し得る。モノリシック3次元NANDストリングのアレイは、基板(9、10)の上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極を含むことができる。複数の制御ゲート電極は、少なくとも、第1デバイスレベルに位置する第1制御ゲート電極と、第2デバイスレベルに位置する第2制御ゲート電極を含む。
組成変調電荷蓄積層540は、メモリ開口部49内に位置する連続的な窒化ケイ素層154の垂直部分354として具現化される電荷蓄積領域の垂直積層体を含む。連続的な窒化ケイ素層154の垂直部分354は、プログラミングの際に電荷を蓄積する。電荷蓄積領域の垂直積層体は、トンネル誘電体層56を側方で取り囲む。連続的な窒化ケイ素層154の垂直部分は、酸素含有誘電性ケイ素化合物部分54’に比べて優れた電荷トラップ特性を提供する。酸素含有誘電性ケイ素化合物部分54’は、電荷をあまり捕捉しないため、酸素含有誘電性ケイ素化合物部分54’でのより低い電荷トラップ特性により、連続的な窒化ケイ素層154の垂直部分354に蓄積された電荷の垂直拡散を低減することができる。すなわち、電荷トラップ材料層の電荷トラップ特性は、導電層46の各レベル(すなわち各メモリセル内)で高められ、絶縁層32の各レベルで(すなわちメモリセル間で)抑制される。捕捉された電荷の垂直拡散を抑制または低減することにより、3次元NANDメモリデバイスの電荷保持特性を高めることができる。
本開示の別の態様によれば、第1例示的な構造のメモリ積層体構造55、裏面閉塞誘電体層(152、153)、および連続的な窒化ケイ素層154を異なる要素で変更または置換して、追加の例示的な構造を形成することができる。
図12Aを参照すると、図3Aの処理ステップでの第1例示的な構造から、本開示の第2実施形態による第2例示的な構造を得ることができる。具体的には、図3Aに示される第1例示的な構造は、酸化プロセスを実施して第2例示的な構造を提供することによって変更することができる。酸化プロセスは、各メモリ開口部49の周りの犠牲材料層42の表面部分を変換して、環状エッチストップ材料部分253を形成する。酸化プロセスは、インサイチュ蒸気発生(「ISSG」)またはNOプラズマ酸化などの熱酸化プロセスまたはプラズマ酸化プロセスであり得る。一実施形態では、絶縁層32は酸化ケイ素を含むことができ、犠牲材料層42は窒化ケイ素を含むことができる。メモリ開口部49の幾何学的中心軸から半径方向に沿って測定したとき、環状エッチストップ材料部分253の厚さは、3nm〜10nm、例えば4nm〜6nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。環状エッチストップ材料部分253は、酸素原子の取込みにより、犠牲材料層42と異なる材料組成を有し、絶縁層32に対して選択的に犠牲材料層を除去するために使用される後続のエッチングプロセス中にエッチストップ構造として機能する。
例えば、犠牲材料層42が窒化ケイ素を含む場合、環状エッチストップ材料部分253は、酸窒化ケイ素または酸化ケイ素を含むことができる。一実施形態では、酸化物からなる環状エッチストップ材料部分253と窒化物からなる犠牲材料層42の間に非常に鋭い界面(例えば、1nm未満の厚さを有する)が位置する。上記したように、酸窒化ケイ素は、Si(1±δ)2(1−x)4x/3の材料組成を表す。ここで、δは、0〜0.1の範囲内(両端を含む)であり、xは、0.02よりも大きく、0.98未満である。酸化ケイ素は、Si(1±δ)2(1−x)4x/3の材料組成またはそれからのドープ誘導体を表し、ドープ誘導体は、Si、OおよびN以外の原子でドープされ、δは、0〜0.1の範囲内(両端を含む)であり、xは、ゼロまたは0.02以下の正数である。窒化ケイ素は、Si(1±δ)2(1−x)4x/3の材料組成を表す。ここで、δは、0〜0.1の範囲内(両端を含む)であり、xは、0.98〜1の範囲内である。
一実施形態では、環状エッチストップ材料部分253は、Si(1±δ)2(1−x)4x/3の材料組成を有することができる。ここで、xは、0〜0.2の範囲内であり得る。別の実施形態では、xは、0〜0.1の範囲内であり得る。さらに別の実施形態では、xは、0〜0.02の範囲内であってよく、環状エッチストップ材料部分253は、酸化ケイ素を含み得る。さらに別の実施形態では、xは、0.02より大きく0.05未満であってよく、環状エッチストップ材料部分253は、酸窒化ケイ素を含み得る。1±δが1.0よりも大きい場合、酸窒化ケイ素はケイ素富化である。1±δが1.0未満である場合、酸窒化ケイ素はケイ素欠乏である。数δがゼロの場合、酸窒化ケイ素は化学量論的である。数xが0.02を超えない場合、化合物は酸化ケイ素とみなされる。
環状エッチストップ材料部分253は、メモリ開口部の周りの犠牲材料層の各レベルに形成される。本明細書で使用するとき、「環状」要素は、それを通る単一の開口部を含む要素を表す。環状エッチストップ材料部分253は、それぞれメモリ開口部49に物理的に露出された内側壁と、それぞれの犠牲材料層に接触する外側壁と、内側壁の上側周縁および外側壁の上側周縁に隣接する環状上面と、内側壁の下側周縁および外側壁の下側周縁に隣接する環状底面を有することができる。誘電性半導体酸化物プレート212は、各メモリ開口部49の底部での半導体材料層10の表面部分を変換することにより、酸化プロセス中に副次的に形成することができる。半導体材料層10がケイ素を含む場合、誘電性半導体酸化物プレート212は酸化ケイ素を含むことができる。
図12Bを参照すると、異方性エッチングプロセスを行って誘電性半導体酸化物プレート212を除去することができる。異方性エッチングプロセス中に高い指向性のプラズマを使用することにより、環状エッチストップ材料部分253の内側壁への副次的な損傷を最小限に抑えることができる。ハイドロフルオロカーボンガスのプラズマを使用して誘電性半導体酸化物プレート212をエッチングすることができる。半導体材料層10の上面は、誘電性半導体酸化物プレート212の除去後、各メモリ開口部49の底部で物理的に露出させることができる。本明細書で使用するとき、表面が真空、気体環境、またはプラズマ環境と物理的に接触している場合、その表面は「物理的に露出されている」。
図12Cを参照すると、各メモリ開口部49の底部にエピタキシャルペデスタル11を形成するために、任意選択で図3Bの処理ステップを行うことができる。エピタキシャルペデスタル11の上方のメモリ開口部49の未充填部分にキャビティ49’が存在する。
図12Dを参照すると、各メモリ開口部49の側壁にトンネル誘電体層556を堆積することができる。トンネル誘電体層556は、少なくとも1つのトンネル誘電体副層(562、564、566)を含む。本明細書で使用するとき、「副層」は、別の層の構成要素である層を表す。一実施形態では、少なくとも1つのトンネル誘電体副層(562、564、566)内の各層は、少なくともメモリ開口部49内へのそれぞれの材料の堆積により、メモリ開口部49内の体積全体に形成することができる。一実施形態では、少なくとも1つのトンネル誘電体副層(562、564、566)は、複数のトンネル誘電体副層を含むことができる。
一実施形態では、トンネル誘電体層556は、外側酸化ケイ素層562、窒化ケイ素層564、および内側酸化ケイ素層566を含む層積層体を含むことができ、この層積層体を本明細書では「ONO積層体」と呼ぶ。外側酸化ケイ素層562および内側酸化ケイ素層566は、酸化ケイ素を含む。窒化ケイ素層564は窒化ケイ素を含む。すなわち、Si(1±η)2(1−z)4z/3の組成を有する。ここで、ηは、0〜0.1の範囲内(両端を含む)であり、zは、0.98〜1の範囲内である。外側酸化ケイ素層562の厚さは1nm〜3nmの範囲内であってよく、窒化ケイ素層564の厚さは2nm〜5nmの範囲内であってよく、内側酸化ケイ素層566の厚さは1nm〜3nmの範囲内であり得るが、トンネル誘電体層556内の各層に関してより小さいおよびより大きい厚さを使用することもできる。
その後、図3Cの処理ステップを使用して、任意選択の第1半導体チャネル層を堆積することができる。異方性エッチングプロセスを行って、任意選択の第1半導体チャネル層およびトンネル誘電体層556の水平部分を除去することができる。メモリ開口部49内の第1半導体チャネル層の残った各部分は、第1半導体チャネル部分601を構成する。絶縁キャップ層70の上方から、および第1半導体チャネル部分601を通る開口部の領域内からトンネル誘電体層556の水平部分が除去される。エピタキシャルチャネル部分11の表面(またはエピタキシャルチャネル部分11が使用されない場合には半導体材料層10の表面)は、各メモリ開口部49内の第1半導体チャネル部分601およびトンネル誘電体層556を通る開口部の下で物理的に露出させることができる。
図3E〜3Fの処理ステップを順次に行って、各メモリ開口部49内に第2半導体チャネル部分602、誘電体コア62、およびドレイン領域63を形成することができる。第1半導体チャネル部分601と第2半導体チャネル部分602の隣接する各対は、垂直半導体チャネル60を集合的に形成することができ、垂直半導体チャネル60を含む垂直NANDデバイスがオンしたとき、垂直半導体チャネル60を通して電流が流れることができる。垂直半導体チャネル60、トンネル誘電体層556、およびトンネル誘電体層556に接触する全ての環状エッチストップ材料部分253の組がインプロセスメモリ積層体構造(60、556、253)を集合的に構成し、その後、このインプロセスメモリ積層体構造を修正してメモリ積層体構造を形成する。
その後、図5Aおよび5Bの処理ステップを行って裏面コンタクトトレンチ79を形成することができる。
図13Aを参照すると、図6の処理ステップを行って裏面凹部43を形成することができる。具体的には、環状エッチストップ材料部分253、絶縁層32、および半導体材料層10に対して選択的に犠牲材料層42を除去することにより、裏面凹部43が形成される。一実施形態では、犠牲材料層42は、窒化ケイ素を含むことができ、環状エッチストップ材料部分253は、酸化ケイ素または酸窒化ケイ素を含むことができ、犠牲材料層42は、リン酸を使用するウェットエッチングによって除去することができる。
図13Bを参照すると、環状エッチストップ材料部分253は、窒化プロセスにより、ケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分274Vに少なくとも部分的に変換することができる。窒化プロセスは、絶縁層32および環状エッチストップ材料部分253の物理的に露出された表面の窒化により、連続的な電荷トラップ材料層274を形成することができる。連続的な電荷トラップ材料層274は、ケイ素および窒素を含む誘電体化合物を含み、最も下の絶縁層32から最も上の絶縁層32まで連続的に延びている。
窒化プロセスは、熱窒化プロセスまたはプラズマ窒化プロセスであり得る。シリコン含有材料の表面部分を酸窒化ケイ素部分または窒化ケイ素部分に変換するために、熱窒化プロセスまたはプラズマ窒化プロセス中にNHまたはNなどの窒素含有ガスを使用することができる。例えば、絶縁層32、絶縁キャップ層70、およびコンタクトレベル誘電体層73は酸化ケイ素を含むことができ、半導体材料層10はケイ素を含むことができ、環状エッチストップ材料部分253は酸化ケイ素または酸窒化ケイ素を含むことができる。この場合、連続的な電荷トラップ材料層274は、連続的な酸窒化ケイ素層を含むことができる。一実施形態では、半導体窒化物部分374は、連続的な電荷トラップ材料層274の形成と同時に各裏面コンタクトトレンチ79の下にある半導体材料層10の表面部分を窒化することによって形成することができる。ゲート誘電体層12の一部分は、連続的な電荷トラップ材料層274の一部分に変換することができる。
一実施形態では、各環状エッチストップ材料部分253の全体を、窒素濃度の増加範囲内で連続的な電荷トラップ材料層274の垂直部分に変換することができるように、窒化プロセスのプロセスパラメータを選択することができる。この場合、連続的な電荷トラップ材料層274は、側方凹部43と絶縁層32との垂直方向に隣接する各対間に位置する水平部分と、それぞれのトンネル誘電体層556に接触する垂直部分と、絶縁層32の各遠位側壁に接触する追加の垂直部分を含むことができる。本明細書で使用するとき、絶縁層32の近位側壁は、インプロセスメモリ積層体構造またはメモリ積層体構造に接触するか、またはメモリ開口部49に物理的に露出された絶縁層32の側壁を表す。本明細書で使用するとき、絶縁層32の遠位側壁は、いずれのインプロセスメモリ積層体構造にも接触せず、いずれのメモリ積層体構造にも接触せず、メモリ開口部49に物理的に露出されていない絶縁層32の側壁を表す。
それぞれのトンネル誘電体層556に接触する連続的な電荷トラップ材料層274の各垂直部分が電荷トラップ材料部分274Vを構成する。一実施形態では、犠牲材料層42は窒化ケイ素層を含むことができ、環状エッチストップ材料部分253は、(犠牲材料層42の)窒化ケイ素層の表面部分を、酸化ケイ素および酸窒化ケイ素から選択された材料を含む誘電性酸素含有ケイ素化合物部分に変換することによって形成することができ、窒化プロセスは、各環状エッチストップ材料部分253の全体を電荷トラップ材料部分274Vに変換することができる。
一実施形態では、環状エッチストップ材料部分253は、電荷トラップ材料部分に変換する前に酸窒化ケイ素を含むことができ、絶縁層32は、連続的な電荷トラップ材料層の形成前に本質的に酸化ケイ素からなり得る。この場合、絶縁層32よりも環状エッチストップ材料部分253の窒素含有量が高いほど、絶縁層32から誘導される連続的な電荷トラップ材料層274の部分よりも電荷トラップ材料部分274Vでの窒素含有量が高くなり得る。一実施形態では、電荷トラップ材料部分274Vは、第1酸窒化ケイ素材料を含むことができ、連続的な酸窒化ケイ素層274の水平部分は、第1酸窒化ケイ素材料よりも低い平均窒素濃度を有する第2酸窒化ケイ素を含むことができる。トンネル誘電体層556は、トンネル誘電体層556を側方で取り囲む各電荷トラップ材料部分274Vに接触する。
図13Cを参照すると、少なくとも1つの共形堆積プロセスにより、裏面凹部43および裏面コンタクトトレンチ79内に少なくとも1つの連続的な裏面閉塞誘電体層(252、251)を形成することができる。例えば、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)は、連続的な誘電性ケイ素化合物層252および連続的な誘電性金属酸化物層251を含むことができる。一実施形態では、連続的な誘電性ケイ素化合物層252は、1nm〜6nmの範囲内の厚さを有する酸化ケイ素層であり得るが、より小さいおよびより大きい厚さを使用することもできる。連続的な誘電性金属酸化物層251は、1.5nm〜6nmの範囲内の厚さを有する酸化アルミニウム層であり得るが、より小さいおよびより大きい厚さを使用することもできる。連続的な誘電性ケイ素化合物層252および連続的な誘電性金属酸化物層251は、それぞれ全体にわたってそれぞれ均一な厚さを有することができ、化学気相成長(CVD)または原子層堆積(ALD)などのそれぞれの共形堆積プロセスによって堆積することができる。少なくとも1つの連続的な裏面閉塞誘電体層(252、251)は、それぞれ最も下の絶縁層32から最も上の絶縁層32まで連続的に延び、ゲート誘電体層12からコンタクトレベル誘電体層73まで延びることができる。
その後、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)上に少なくとも1つの導電性材料層(46A、46B)を堆積することができる。少なくとも1つの導電性材料層(46A、46B)は、例えば、導電性金属窒化物(TiN、TaN、またはWNなど)を含む導電性金属ライナ46Aと、本質的に少なくとも1つの金属からなる導電性金属層46Bを含むことができる。導電性金属層46Bの少なくとも1つの金属は、単一の元素金属(W、Co、Cu、またはAlなど)であってよく、または少なくとも2つの元素金属の金属間合金であり得る。導電性金属ライナ46Aおよび導電性金属層46Bは、それぞれ化学気相成長または原子層堆積などの共形堆積プロセスによって堆積することができる。導電性金属ライナ46Aの厚さは、1nm〜6nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。導電性金属層46Bの厚さ(裏面コンタクトトレンチ79の側壁にわたって測定)は、裏面凹部43の全体が、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)および少なくとも1つの導電性材料層(46A、46B)を含む堆積材料層で充填されるように選択することができる。ある体積の裏面凹部43を充填する少なくとも1つの導電性材料層(46A、46B)の各連続部分が導電層46を構成する。導電層46のいずれにも属さない少なくとも1つの導電性材料層(46A、46B)の部分は、裏面コンタクトトレンチ79内に位置するか、またはコンタクトレベル誘電体層73の上に位置する単一連続構造を形成し、本明細書ではまとめて連続的な導電性材料層46Lと呼ばれる。連続的な導電性材料層46Lで充填されていない裏面コンタクトトレンチ79の各体積内に裏面キャビティ79’が存在する。
各メモリ開口部49の周りでは、トンネル誘電体層556と、トンネル誘電体層556に接触する全ての電荷トラップ材料部分274V(すなわち電荷蓄積領域)と、垂直誘電性ケイ素化合物部分252V(誘電性ケイ素化合物層252の垂直部分)と、垂直誘電性金属酸化物部分251V(誘電性金属酸化物層251の垂直部分)との組がメモリ被膜50を構成する。メモリ被膜50と垂直半導体チャネル60との隣接する各組がメモリ積層体構造(50、60)を構成する。
図13Dを参照すると、図9の処理ステップを行って、裏面コンタクトトレンチ79の内側から、およびコンタクトレベル誘電体層73の上から連続的な導電性材料層46Lを除去することができる。その後、図10の処理ステップを行うことができる。具体的には、共形絶縁材料層の堆積と、共形絶縁材料層の水平部分を除去する異方性エッチングにより、絶縁スペーサ74を形成することができる。異方性エッチングは、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)の部分と、裏面キャビティ79’の下にある、すなわち絶縁スペーサ74によって画定された開口部の領域内にある半導体窒化物部分374を除去することができる。
ソース領域61は、絶縁スペーサ74を通る開口部の下にある半導体材料層10の表面部分への電気的ドーパントの注入により、各裏面キャビティ79’の底部に形成することができる。各ソース領域61は、絶縁スペーサ74を通るそれぞれの開口部の下にある基板(9、10)の表面部分に形成される。注入プロセス中の注入されたドーパント原子の散在、および後続の活性化アニールプロセス中の注入されたドーパント原子の側方拡散により、各ソース領域61は、それぞれの絶縁スペーサ74の下に位置し得る。
コンタクトビア構造76を各キャビティ79’内に形成することができる。各コンタクトビア構造76は、それぞれのキャビティ79’を充填することができる。各コンタクトビア構造76は、それぞれの裏面コンタクトトレンチ79内に位置する絶縁スペーサ74の内部に形成することができる。コンタクトビア構造76は、裏面コンタクトトレンチ79の残った各未充填体積(すなわちキャビティ79’)内に少なくとも1つの導電性材料を堆積することによって形成することができる。例えば、少なくとも1つの導電性材料は、導電性ライナ76Aおよび導電性充填材料部分76Bを含むことができる。導電性ライナ76Aは、TiN、TaN、WN、TiC、TaC、WC、それらの合金、またはそれらの積層体などの導電性金属ライナを含むことができる。導電性ライナ76Aの厚さは3nm〜30nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。導電性充填材料部分76Bは、金属または金属合金を含むことができる。例えば、導電性充填材料部分76Bは、W、Cu、Al、Co、Ru、Ni、それらの合金、またはそれらの積層体を含むことができる。あるいは、部分76Aおよび76Bは、Wなどの金属、およびドープされたポリシリコンを含むことができる。
少なくとも1つの導電性材料は、例えば化学機械平坦化(CMP)によって平坦化することができる。裏面コンタクトトレンチ79内の少なくとも1つの導電性材料の残った連続的な各部分は、裏面コンタクトビア構造76を構成する。各コンタクトビア構造76は、ソース領域61の上面に直接形成することができる。
その後、図11の処理ステップを行って、第1実施形態と同様に様々な追加のコンタクトビア構造を形成することができる。
第2例示的な構造では、電荷トラップ材料部分274Vは、絶縁層32と導電層46との交互積層体(32、46)を通して延びる連続的な電荷トラップ材料層274の垂直部分である。連続的な電荷トラップ材料層274は、交互積層体(32、46)内の導電層46と絶縁層32との垂直方向に隣接する各対間に位置する水平部分を含む。連続的な電荷トラップ材料層274は、絶縁層32の各遠位側壁に接触する追加の垂直部分を含む。一実施形態では、連続的な電荷トラップ材料層274は、連続的な酸窒化ケイ素層を含む。一実施形態では、トンネル誘電体層556は、少なくとも1つの酸化ケイ素部分(外側酸化ケイ素層562など)と、窒化ケイ素層564と、垂直半導体チャネル60に接触する酸化ケイ素層(内側酸化ケイ素層566)を含むONO積層体を含む。
内側酸化ケイ素層566の外側壁は、絶縁層32の近位側壁に接触するトンネル誘電体層556の外側壁の第1部分と、導電層46の各レベルに位置するトンネル誘電体層の外側壁の第2部分を含むことができる。一実施形態では、電荷トラップ材料部分274Vは、第1酸窒化ケイ素材料を含み、連続的な酸窒化ケイ素層274の水平部分は、第1酸窒化ケイ素材料よりも低い平均窒素濃度を有する第2酸窒化ケイ素を含む。
図14A〜14Dを参照すると、第2例示的な構造のトンネル誘電体層556を、窒化ケイ素層564と内側酸化ケイ素層566の積層体を含むトンネル誘電体副層積層体656で置換することにより、図12Dの第2例示的な構造から本開示の第3実施形態による第3例示的な構造を導き出すことができる。図14Aを参照すると、第3例示的な構造では、トンネル絶縁層556を形成する処理ステップにおいて、外側酸化ケイ素層562の形成が省略されている。したがって、第2実施形態のトンネル誘電体層556の代わりに、第3例示的な構造では、窒化ケイ素層564および内側酸化ケイ素層566からなるトンネル誘電体副層積層体656を使用することができる。トンネル誘電体副層積層体656は、窒化ケイ素層と酸化ケイ素層の積層体であり、本明細書ではNO積層体と呼ばれる。
第3例示的な構造では、任意選択で、環状エッチストップ材料部分253の厚さを第2実施形態の環状エッチストップ材料部分253よりも増加させることができる。例えば、環状エッチストップ材料部分253の形成中の酸化プロセスの持続時間を長くすることができ、それにより、メモリ開口部49の幾何学的中心軸から半径方向に沿って測定したときに、環状エッチストップ材料部分253の厚さは、4nm〜12nm、例えば5nm〜8nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。
トンネル誘電体副層積層体656の形成後、第1および第2実施形態と同様に、第1半導体チャネル部分601、第2半導体チャネル部分602、誘電体コア62、およびドレイン領域63を各メモリ開口部49内に形成することができる。
その後、第1および第2実施形態と同様に、図5Aおよび5Bの処理ステップを行って裏面コンタクトトレンチ79を形成することができる。第2実施形態と同様に、図6の処理ステップを行って裏面凹部43を形成することができる。
図14Bを参照すると、環状エッチストップ材料部分253は、窒化プロセスにより、ケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分274Vに部分的に変換することができる。窒化プロセスは、絶縁層32および環状エッチストップ材料部分253の物理的に露出された表面の窒化により、連続的な電荷トラップ材料層274を形成することができる。連続的な電荷トラップ材料層274は、ケイ素および窒素を含む誘電体化合物を含み、最も下の絶縁層32から最も上の絶縁層32まで連続的に延びている。
第2実施形態と同様に、窒化プロセスは、熱窒化プロセスまたはプラズマ窒化プロセスであり得る。例えば、絶縁層32、絶縁キャップ層70、およびコンタクトレベル誘電体層73は酸化ケイ素を含むことができ、半導体材料層10はケイ素を含むことができ、環状エッチストップ材料部分253は酸化ケイ素または酸窒化ケイ素を含むことができる。この場合、連続的な電荷トラップ材料層274は、連続的な酸窒化ケイ素層を含むことができる。一実施形態では、半導体窒化物部分374は、連続的な電荷トラップ材料層274の形成と同時に各裏面コンタクトトレンチ79の下にある半導体材料層10の表面部分を窒化することによって形成することができる。ゲート誘電体層12の一部分は、連続的な電荷トラップ材料層274の一部分に変換することができる。
一実施形態では、各環状エッチストップ材料部分253の外側領域のみを、窒素濃度の増加を伴う連続的な電荷トラップ材料層274の垂直部分に変換することができ、一方で各環状エッチストップ材料部分253の内側領域の窒素濃度が実質的に同じままであり、すなわち10%を超えて変化しないように、窒化プロセスのプロセスパラメータを選択することができる。この場合、環状エッチストップ材料部分253の各内側領域は、トンネル誘電体層の外側トンネル誘電体副層材料として機能する環状酸化ケイ素部分であり得る。したがって、環状酸化ケイ素部分は、外側トンネル誘電体部分256と呼ばれる。
この場合、連続的な電荷トラップ材料層274は、側方凹部43と絶縁層32との垂直方向に隣接する各対間に位置する水平部分と、それぞれの外側トンネル誘電体部分256に接触する垂直部分と、絶縁層32の各遠位側壁に接触する追加の垂直部分を含むことができる。
それぞれの外側トンネル誘電体部分256に接触する連続的な電荷トラップ材料層274の各垂直部分が、電荷トラップ材料部分274Vを構成する。一実施形態では、犠牲材料層42は窒化ケイ素層を含むことができ、環状エッチストップ材料部分253は、(犠牲材料層42の)窒化ケイ素層の表面部分を酸化ケイ素に変換することによって形成することができ、窒化プロセスは、各環状エッチストップ材料部分253の外側部分を電荷トラップ材料部分274Vに変換することができる。
トンネル誘電体副層積層体656と、トンネル誘電体副層積層体656に接触する全ての外側トンネル誘電体部分256の各組がトンネル誘電体層(656、256)を構成する。トンネル誘電体層(656、256)は、トンネル誘電体層(656、256)を側方で取り囲む各電荷トラップ材料部分274Vに接触する。
図14Cを参照すると、図13Cの処理ステップを行って、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)および少なくとも1つの導電性材料層(46A、46B)を形成することができる。ある体積の裏面凹部43を充填する少なくとも1つの導電性材料層(46A、46B)の各連続部分が導電層46を構成する。導電層46のいずれにも属さない少なくとも1つの導電性材料層(46A、46B)の部分は、裏面コンタクトトレンチ79内に位置するか、またはコンタクトレベル誘電体層73の上に位置する単一連続構造を形成し、本明細書ではまとめて連続的な導電性材料層46Lと呼ばれる。連続的な導電性材料層46Lで充填されていない裏面コンタクトトレンチ79の各体積内に裏面キャビティ79’が存在する。
図14Dを参照すると、図9の処理ステップを行って、裏面コンタクトトレンチ79の内側から、およびコンタクトレベル誘電体層73の上から連続的な導電性材料層46Lを除去することができる。その後、第2実施形態と同様に、図10の処理ステップを行って、絶縁スペーサ74と、ソース領域61と、各裏面コンタクトトレンチ79内およびその下にある裏面コンタクトビア構造76を形成することができる。
第3例示的な構造では、電荷トラップ材料部分274Vは、絶縁層32と導電層46との交互積層体(32、46)を通して延びる連続的な電荷トラップ材料層274の垂直部分である。連続的な電荷トラップ材料層274は、交互積層体(32、46)内の導電層46と絶縁層32の垂直方向に隣接する各対間に位置する水平部分を含む。連続的な電荷トラップ材料層274は、絶縁層32の各遠位側壁に接触する追加の垂直部分を含む。一実施形態では、連続的な電荷トラップ材料層274は、連続的な酸窒化ケイ素層を含む。一実施形態では、トンネル誘電体層(656、256)は、少なくとも1つの酸化ケイ素部分(外側トンネル誘電体部分256など)と、窒化ケイ素層564と、垂直半導体チャネル60に接触する酸化ケイ素層(内側酸化ケイ素層566)を含むONO積層体を含む。
第3実施形態では、窒化プロセスは、各環状エッチストップ材料部分253の外側領域を電荷トラップ材料部分274Vに変換する。環状エッチストップ材料部分253の残った各内側領域は、少なくとも1つのトンネル誘電体副層(トンネル誘電体副層積層体656など)に接触する外側トンネル誘電体部分256を構成する。少なくとも1つのトンネル誘電体副層656と外側トンネル誘電体部分256とが集合的にトンネル誘電体層(656、256)を構成する。少なくとも1つのトンネル誘電体副層656内の各層は、少なくともメモリ開口部49内へのそれぞれの材料の堆積により、メモリ開口部49内の体積全体に形成される。したがって、トンネル誘電体層は、ペデスタル11の上方のメモリ開口部49の高さ全体にわたって連続的に延びる中央窒化ケイ素副層564および内側酸化ケイ素副層566、ならびに絶縁層32間に位置する離散セグメントを含む外側部分256を含む。
トンネル誘電体層(656、256)は、外側トンネル誘電体部分256を含む複数の離散酸化ケイ素部分を含むことができる。トンネル誘電体副層積層体656の外側壁は、絶縁層32の近位側壁に接触するトンネル誘電体層(656、256)の側壁の第1部分を含むことができる。外側トンネル誘電体部分256の外側壁は、導電層46の各レベルに位置するトンネル誘電体層(656、256)の外側壁の第2部分を含むことができる。外側トンネル誘電体部分256は、垂直方向に絶縁層32のレベルまで延びていない。
トンネル誘電体層(656、256)がONO積層体を含む場合、ONO積層体内の窒化ケイ素層564の外側壁は、絶縁層32の近位側壁に接触するトンネル誘電体層(656、256)の外側壁の第1部分を含む。ONO積層体内の窒化ケイ素層564は、複数の離散した酸化ケイ素部分、すなわち外側トンネル誘電体部分256のそれぞれの内側壁に接触する。
図15Aを参照すると、より大きい厚さを有する環状エッチストップ材料部分253を形成することにより、図12Aの処理ステップにおいて、第2例示的な構造から本開示の第4実施形態による第4例示的な構造を得ることができる。例えば、環状エッチストップ材料部分253の形成中の酸化プロセスの持続時間を長くすることができ、それにより、メモリ開口部49の幾何学的中心軸から半径方向に沿って測定したときに、環状エッチストップ材料部分253の厚さは、6nm〜20nm、例えば8nm〜12nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。誘電性半導体酸化物プレート212は、各メモリ開口部49の底部に形成することができる。
図15Bを参照すると、図12Bの処理ステップを行って、誘電性半導体酸化物プレート212を異方性エッチングすることができる。任意選択で、各メモリ開口部49にエピタキシャルペデスタル11を形成するために、図12Cの処理ステップを行うことができる。
図15Cを参照すると、絶縁層32の内側部分および環状エッチストップ材料部分253の窒化により、窒化ケイ素層564を形成することができる。窒化プロセスを行って、各環状エッチストップ材料部分253の内側環状領域内において、絶縁層32および絶縁キャップ層70の物理的に露出された表面の下にある表面部分、およびエピタキシャルペデスタル11の表面部分を窒化ケイ素層564に変換することができる。熱窒化プロセスまたはプラズマ窒化プロセスを使用することができる。窒化ケイ素層564の厚さは、1.5nm〜6nm(例えば、2nm〜4nm)の範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。窒化ケイ素層564は、メモリ開口部49の内面全体を覆い、絶縁キャップ層70の上に延びる連続層である。窒化ケイ素層564は、機能的に第2および第3例示的な構造の窒化ケイ素層564の代わりとなり、したがってトンネル誘電体副層である。
図15Dを参照すると、内側酸化ケイ素層566を窒化ケイ素層564上に形成することができる。内側酸化ケイ素層566は、酸化ケイ素を含み、トンネル誘電体副層として使用される。内側酸化ケイ素層566は、酸化ケイ素材料の堆積および窒化ケイ素層564の内面領域の酸化から選択されるプロセスによって形成することができる。内側酸化ケイ素層566の厚さは、1nm〜3nmまでの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。内側酸化ケイ素層566と窒化ケイ素層564とは、トンネル誘電体副層積層体(564、566)を集合的に構成し、本明細書ではNO積層体と呼ばれる。
その後、図3Cの処理ステップを使用して任意選択の第1半導体チャネル層を堆積することができる。異方性エッチングプロセスを行って、任意選択の第1半導体チャネル層およびトンネル誘電体層(564、566)の水平部分を除去することができる。メモリ開口部49内の第1半導体チャネル層の残った各部分は、第1半導体チャネル部分601を構成する。絶縁キャップ層70の上方から、および第1半導体チャネル部分601を通る開口部の領域内からトンネル誘電体層の水平部分が除去される。エピタキシャルチャネル部分11の表面(またはエピタキシャルチャネル部分11が使用されない場合には半導体材料層10の表面)は、各メモリ開口部49内の第1半導体チャネル部分601およびトンネル誘電体層を通る開口部の下で物理的に露出させることができる。
図3E〜3Fの処理ステップを順次に行って、各メモリ開口部49内に第2半導体チャネル部分602、誘電体コア62、およびドレイン領域63を形成することができる。第1半導体チャネル部分601と第2半導体チャネル部分602の隣接する各対は、垂直半導体チャネル60を集合的に形成することができ、垂直半導体チャネル60を含む垂直NANDデバイスがオンしたとき、垂直半導体チャネル60を通して電流が流れることができる。垂直半導体チャネル60と、垂直半導体チャネル60に接触する内側酸化ケイ素層566と、内側酸化ケイ素層566に接触する窒化ケイ素層564と、窒化ケイ素層564に接触する全ての環状エッチストップ材料部分253が集合的にインプロセスメモリ積層体構造(60、566、564、253)を構成し、インプロセスメモリ積層体構造は、その後、メモリ積層体構造を形成するように修正される。
その後、図5Aおよび5Bの処理ステップを行って裏面コンタクトトレンチ79を形成することができる。
図16Aを参照すると、第2実施形態と同様に図6の処理ステップを行って、裏面凹部43を形成することができる。
図16Bを参照すると、環状エッチストップ材料部分253は、窒化プロセスにより、ケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分274Vに部分的に変換することができる。窒化プロセスは、絶縁層32および環状エッチストップ材料部分253の物理的に露出された表面の窒化により、連続的な電荷トラップ材料層274を形成することができる。連続的な電荷トラップ材料層274は、ケイ素および窒素を含む誘電体化合物を含み、最も下の絶縁層32から最も上の絶縁層32まで連続的に延びている。
第2実施形態と同様に、窒化プロセスは、熱窒化プロセスまたはプラズマ窒化プロセスであり得る。例えば、絶縁層32、絶縁キャップ層70、およびコンタクトレベル誘電体層73は酸化ケイ素を含むことができ、半導体材料層10はケイ素を含むことができ、環状エッチストップ材料部分253は酸化ケイ素または酸窒化ケイ素を含むことができる。この場合、連続的な電荷トラップ材料層274は、連続的な酸窒化ケイ素層を含むことができる。一実施形態では、半導体窒化物部分374は、連続的な電荷トラップ材料層274の形成と同時に各裏面コンタクトトレンチ79の下にある半導体材料層10の表面部分を窒化することによって形成することができる。ゲート誘電体層12の一部分は、連続的な電荷トラップ材料層274の一部分に変換することができる。
一実施形態では、各環状エッチストップ材料部分253の外側領域のみを、窒素濃度の増加範囲内で連続的な電荷トラップ材料層274の垂直部分に変換することができ、一方で各環状エッチストップ材料部分253の内側領域の窒素濃度が実質的に同じままであり、すなわち10%を超えて変化しないように、窒化プロセスのプロセスパラメータを選択することができる。この場合、環状エッチストップ材料部分253の各内側領域は、環状酸化ケイ素部分であってよく、トンネル誘電体層の外側トンネル誘電体層材料として機能する。したがって、環状酸化ケイ素部分は、外側トンネル誘電体部分256と呼ばれる。
この場合、連続的な電荷トラップ材料層274は、側方凹部43と絶縁層32の垂直方向に隣接する各対間に位置する水平部分と、それぞれの外側トンネル誘電体部分256に接触する垂直部分と、絶縁層32の各遠位側壁に接触する追加の垂直部分を含むことができる。
それぞれの外側トンネル誘電体部分256に接触する連続的な電荷トラップ材料層274の各垂直部分が電荷トラップ材料部分274Vを構成する。一実施形態では、犠牲材料層42は窒化ケイ素層を含むことができ、環状エッチストップ材料部分253は、(犠牲材料層42の)窒化ケイ素層の表面部分を酸化ケイ素に変換することによって形成することができ、窒化プロセスは、各環状エッチストップ材料部分253の外側部分を電荷トラップ材料部分274Vに変換することができる。
トンネル誘電体副層積層体(566、564)と、トンネル誘電体副層積層体(566、564)に接触する全ての外側トンネル誘電体部分256の各組がトンネル誘電体層(566、564、256)を構成する。トンネル誘電体層は、トンネル誘電体層(566、564、256)を側方で取り囲む各電荷トラップ材料部分274Vに接触する。
図16Cを参照すると、図13Cの処理ステップを行って、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)および少なくとも1つの導電性材料層(46A、46B)を形成することができる。ある体積の裏面凹部43を充填する少なくとも1つの導電性材料層(46A、46B)の各連続部分が導電層46を構成する。導電層46のいずれにも属さない少なくとも1つの導電性材料層(46A、46B)の部分は、裏面コンタクトトレンチ79内に位置するか、またはコンタクトレベル誘電体層73の上に位置する単一連続構造を形成し、本明細書ではまとめて連続的な導電性材料層46Lと呼ばれる。連続的な導電性材料層46Lで充填されていない裏面コンタクトトレンチ79の各体積内に裏面キャビティ79’が存在する。
図16Dを参照すると、図9の処理ステップを行って、裏面コンタクトトレンチ79の内側から、およびコンタクトレベル誘電体層73の上から連続的な導電性材料層46Lを除去することができる。その後、第2実施形態と同様に図10の処理ステップを行って、絶縁スペーサ74と、ソース領域61と、各裏面コンタクトトレンチ79内およびその下にある裏面コンタクトビア構造76を形成することができる。
第4例示的な構造では、電荷トラップ材料部分274Vは、絶縁層32と導電層46の交互積層体(32、46)を通して延びる連続的な電荷トラップ材料層274の垂直部分である。連続的な電荷トラップ材料層274は、交互積層体(32、46)内の導電層46と絶縁層32の垂直方向に隣接する各対間に位置する水平部分を含む。連続的な電荷トラップ材料層274は、絶縁層32の各遠位側壁に接触する追加の垂直部分を含む。一実施形態では、連続的な電荷トラップ材料層274は、連続的な酸窒化ケイ素層を含む。一実施形態では、トンネル誘電体層(566、564、256)は、少なくとも1つの酸化ケイ素部分(外側トンネル誘電体部分256など)と、窒化ケイ素層564と、垂直半導体チャネル60に接触する酸化ケイ素層(内側酸化ケイ素層566)を含むONO積層体を含む。
第4実施形態では、窒化プロセスは、各環状エッチストップ材料部分253の外側領域を電荷トラップ材料部分274Vに変換する。環状エッチストップ材料部分253の残った各内側領域は、少なくとも1つのトンネル誘電体副層(窒化ケイ素層564など)に接触する外側トンネル誘電体部分256を構成する。少なくとも1つのトンネル誘電体副層(566、564)と外側トンネル誘電体部分256が集合的にトンネル誘電体層(566、564、256)を構成する。トンネル誘電体副層積層体(566、564)内の内側酸化ケイ素層566は、メモリ開口部49内に誘電体材料を堆積することにより、メモリ開口部49内の体積全体に形成することができる。あるいは、トンネル誘電体副層積層体(566、564)内の内側酸化ケイ素層566は、窒化ケイ素層564の内側部分の酸化によってメモリ開口部49の体積の外側に形成することができる。
トンネル誘電体層(566、564、256)は、外側トンネル誘電体部分256として具現化される複数の離散酸化ケイ素部分を含むことができる。トンネル誘電体副層積層体(566、564)の外側壁(すなわち、窒化ケイ素層564の外側壁)は、絶縁層32の近位側壁に接触するトンネル誘電体層(566、564、256)の側壁の第1部分を含むことができる。外側トンネル誘電体部分256の外側壁は、導電層46の各レベルに位置するトンネル誘電体層(566、564、256)の外側壁の第2部分を含むことができる。外側トンネル誘電体部分256は、垂直方向に絶縁層32のレベルまで延びていない。
トンネル誘電体層(566、564、256)がONO積層体を含む場合、ONO積層体内の窒化ケイ素層564の外側壁は、絶縁層32の近位側壁に接触するトンネル誘電体層(566、564、256)の外側壁の第1部分を含む。ONO積層体内の窒化ケイ素層564は、複数の離散した酸化ケイ素部分、すなわち外側トンネル誘電体部分256のそれぞれの内側壁に接触する。
図17Aを参照すると、本開示の第5実施形態による第5例示的な構造は、図3Bに示される第1例示的な構造と同じであり得る。エピタキシャルペデスタル11は、存在していてもよいし、存在していなくてもよい任意選択の構造である。
図17Bを参照すると、絶縁層32の材料に対して選択的に犠牲材料層42の材料をエッチングするエッチャントを使用して等方性エッチングプロセスが行われる。犠牲材料層42が窒化ケイ素を含み、絶縁層32が酸化ケイ素を含む場合、リン酸を使用するウェットエッチングを使用して犠牲材料層42を選択的にエッチングし、各メモリ開口部49の周りに側方の窪み149を形成することができる。側方の窪み149は、各メモリ開口部の周りの犠牲材料層42を絶縁層32の近位側壁に対して側方に陥凹させることにより、各メモリ開口部49の周りに形成される。側方エッチング距離は、3nm〜20nm、例えば4nm〜12nmの範囲内であり得るが、より小さいおよびより大きい側方エッチング距離も使用することができる。
図17Cを参照すると、シリコン含有半導体材料を含む環状エッチストップ材料部分453を側方の窪み149内に形成することができる。環状エッチストップ材料部分453は、例えば低圧化学気相成長または原子層堆積によってシリコン含有半導体材料層を共形に堆積し、半導体材料層を異方性エッチングして離散部分453を残すことによって形成することができる。シリコン含有半導体材料は、ケイ素またはケイ素−ゲルマニウム合金などのシリコン含有半導体材料を含むことができる。シリコン含有半導体材料は、アモルファスでも多結晶であり得る。一実施形態では、各エピタキシャルペデスタル11の上面は、副次的に陥凹され得る。各環状エッチストップ材料部分453は、シリコン含有半導体材料の離散部分である。環状エッチストップ材料部分453は、(半径方向に沿って測定して)3nm〜20nm、例えば4nm〜12nmの範囲内の厚さを有することができるが、より小さいおよびより大きい側方エッチング距離を使用することもできる。
図17Dを参照すると、図12Dの処理ステップを行って、各メモリ開口部49内において、トンネル誘電体層556、垂直半導体チャネル60、誘電体コア62、およびドレイン領域63を形成することができる。
その後、図5Aおよび5Bの処理ステップを行って裏面コンタクトトレンチ79を形成することができる。
図18Aを参照すると、図6の処理ステップを行って裏面凹部43を形成することができる。具体的には、環状エッチストップ材料部分453、絶縁層32、および半導体材料層10に対して選択的に犠牲材料層42を除去することにより、裏面凹部43が形成される。一実施形態では、犠牲材料層42は、窒化ケイ素を含むことができ、環状エッチストップ材料部分453は、アモルファスシリコンまたはポリシリコンを含むことができ、犠牲材料層42は、リン酸を使用するウェットエッチングによって除去することができる。
図18Bを参照すると、環状エッチストップ材料部分453は、窒化プロセスにより、ケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分454に変換することができる。電荷トラップ材料部分454は、環状エッチストップ材料部分453の窒化により、離散した窒化ケイ素部分として形成することができる。環状エッチストップ材料部分453がケイ素を含む場合、電荷トラップ材料部分454は窒化ケイ素を含むことができる。各電荷トラップ材料部分454は、それぞれの裏面凹部43のレベルに位置する離散環状構造として形成することができる。窒化プロセス中に各エピタキシャルペデスタル11の表面部分を窒化ケイ素部分に変換することにより、環状窒化ケイ素スペーサ654を形成することができる。
絶縁層32、絶縁キャップ層70、コンタクトレベル誘電体層73、およびゲート誘電体層12の物理的に露出された表面が窒化されて酸窒化ケイ素層455を形成する。各酸窒化ケイ素層455は、酸窒化ケイ素を含み、裏面凹部43の垂直に隣接する対に位置する電荷トラップ材料部分454の垂直方向に隣接する各対間、最も上の電荷トラップ材料部分454の上方、またはゲート誘電体層12の残った部分に延びる。酸窒化ケイ素層455は、垂直方向に互いに離間されている。各酸窒化ケイ素層455は、窒化ケイ素部分454の少なくとも1つに接触する。酸窒化ケイ素層455の部分集合は、トンネル誘電体層556に接触する上側水平部分と、トンネル誘電体層556に接触する下側水平部分と、それぞれの絶縁層32の遠位側壁に接触する垂直部分を含むことができる。
窒化プロセスは、熱窒化プロセスまたはプラズマ窒化プロセスであり得る。一実施形態では、各環状エッチストップ材料部分453の全体をそれぞれの電荷トラップ材料部分454に変換することができるように、窒化プロセスのプロセスパラメータを選択することができる。各酸窒化ケイ素層455は、少なくとも1つの電荷トラップ材料部分454に隣接することができる。第5例示的な構造内に複数のインプロセスメモリ積層体構造(454、556、60)がある場合、各酸窒化ケイ素層455は、少なくとも、インプロセスメモリ積層体構造(454、556、60)と同数の電荷トラップ材料部分454に接触することができる。少なくとも1つのトンネル誘電体副層(562、564、566)を含むトンネル誘電体層556は、各電荷トラップ材料部分454に接触する。
図18Cを参照すると、少なくとも1つの共形堆積プロセスにより、裏面凹部43および裏面コンタクトトレンチ79内に少なくとも1つの連続的な裏面閉塞誘電体層(252、251)を形成することができる。例えば、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)は、連続的な誘電性ケイ素化合物層252および連続的な誘電性金属酸化物層251を含むことができる。一実施形態では、連続的な誘電性ケイ素化合物層252は、1nm〜6nmの範囲内の厚さを有する酸化ケイ素層であり得るが、より小さいおよびより大きい厚さを使用することもできる。連続的な誘電性金属酸化物層251は、1.5nm〜6nmの範囲内の厚さを有する酸化アルミニウム層であり得るが、より小さいおよびより大きい厚さを使用することもできる。連続的な誘電性ケイ素化合物層252および連続的な誘電性金属酸化物層251は、それぞれ全体にわたってそれぞれ均一な厚さを有することができ、化学気相成長(CVD)または原子層堆積(ALD)などのそれぞれの共形堆積プロセスによって堆積することができる。少なくとも1つの連続的な裏面閉塞誘電体層(252、251)は、それぞれ最も下の絶縁層32から最も上の絶縁層32まで連続的に延び、ゲート誘電体層12からコンタクトレベル誘電体層73まで延びることができる。
その後、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)上に少なくとも1つの導電性材料層(46A、46B)を堆積することができる。少なくとも1つの導電性材料層(46A、46B)は、例えば、導電性金属窒化物(TiN、TaN、またはWNなど)を含む導電性金属ライナ46Aと、本質的に少なくとも1つの金属からなる導電性金属層46Bを含むことができる。導電性金属層46Bの少なくとも1つの金属は、単一の元素金属(W、Co、Cu、またはAlなど)であってよく、または少なくとも2つの元素金属の金属間合金であり得る。導電性金属ライナ46Aおよび導電性金属層46Bは、それぞれ化学気相成長または原子層堆積などの共形堆積プロセスによって堆積することができる。導電性金属ライナ46Aの厚さは、1nm〜6nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。導電性金属層46Bの厚さ(裏面コンタクトトレンチ79の側壁にわたって測定)は、裏面凹部43の全体が、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)および少なくとも1つの導電性材料層(46A、46B)を含む堆積材料層で充填されるように選択することができる。
ある体積の裏面凹部43を充填する少なくとも1つの導電性材料層(46A、46B)の各連続部分が導電層46を構成する。導電層46のいずれにも属さない少なくとも1つの導電性材料層(46A、46B)の部分は、裏面コンタクトトレンチ79内に位置するか、またはコンタクトレベル誘電体層73の上に位置する単一連続構造を形成し、本明細書ではまとめて連続的な導電性材料層46Lと呼ばれる。連続的な導電性材料層46Lで充填されていない裏面コンタクトトレンチ79の各体積内に裏面キャビティ79’が存在する。
各メモリ開口部49の周りでは、トンネル誘電体層556と、トンネル誘電体層556に接触する全ての電荷トラップ材料部分454と、トンネル誘電体層556に接触する垂直誘電性ケイ素化合物部分252V(誘電性ケイ素化合物層252の垂直部分)と、垂直誘電性金属酸化物部分251V(誘電性金属酸化物層251の垂直部分)の組がメモリ被膜50を構成する。メモリ被膜50と垂直半導体チャネル60の隣接する各組がメモリ積層体構造(50、60)を構成する。
図18Dを参照すると、図9の処理ステップを行って、裏面コンタクトトレンチ79の内側から、およびコンタクトレベル誘電体層73の上から連続的な導電性材料層46Lを除去することができる。その後、図10の処理ステップを行うことができる。具体的には、共形絶縁材料層の堆積と、共形絶縁材料層の水平部分を除去する異方性エッチングにより、絶縁スペーサ74を形成することができる。異方性エッチングは、少なくとも1つの連続的な裏面閉塞誘電体層(252、251)の部分と、裏面キャビティ79’の下にある、すなわち絶縁スペーサ74によって画定された開口部の領域内にある半導体窒化物部分374を除去することができる。
ソース領域61は、絶縁スペーサ74を通る開口部の下にある半導体材料層10の表面部分への電気的ドーパントの注入により、各裏面キャビティ79’の底部に形成することができる。各ソース領域61は、絶縁スペーサ74を通るそれぞれの開口部の下にある基板(9、10)の表面部分に形成される。注入プロセス中の注入されたドーパント原子の散在、および後続の活性化アニールプロセス中の注入されたドーパント原子の側方拡散により、各ソース領域61は、それぞれの絶縁スペーサ74の下に位置し得る。
コンタクトビア構造76を各キャビティ79’内に形成することができる。各コンタクトビア構造76は、それぞれのキャビティ79’を充填することができる。各コンタクトビア構造76は、それぞれの裏面コンタクトトレンチ79内に位置する絶縁スペーサ74の内部に形成することができる。コンタクトビア構造76は、裏面コンタクトトレンチ79の残った各未充填体積(すなわちキャビティ79’)内に少なくとも1つの導電性材料を堆積することによって形成することができる。例えば、少なくとも1つの導電性材料は、導電性ライナ76Aおよび導電性充填材料部分76Bを含むことができる。導電性ライナ76Aは、TiN、TaN、WN、TiC、TaC、WC、それらの合金、またはそれらの積層体などの導電性金属ライナを含むことができる。導電性ライナ76Aの厚さは3nm〜30nmの範囲内であり得るが、より小さいおよびより大きい厚さを使用することもできる。導電性充填材料部分76Bは、金属または金属合金を含むことができる。例えば、導電性充填材料部分76Bは、W、Cu、Al、Co、Ru、Ni、それらの合金、またはそれらの積層体を含むことができる。あるいは、部分76Aおよび76Bは、Wなどの金属、およびドープされたポリシリコンを含むことができる。
少なくとも1つの導電性材料は、例えば化学機械平坦化(CMP)によって平坦化することができる。裏面コンタクトトレンチ79内の少なくとも1つの導電性材料の残った連続的な各部分は、裏面コンタクトビア構造76を構成する。各コンタクトビア構造76は、ソース領域61の上面に直接形成することができる。
その後、図11の処理ステップを行って、第1実施形態と同様に様々な追加のコンタクトビア構造を形成することができる。
第5例示的な構造では、電荷トラップ材料部分454は、導電層46の各レベルに位置し、垂直方向に絶縁層32のレベルまで延びていない離散窒化ケイ素部分を含む。酸窒化ケイ素層455は、垂直方向に互いに離間されている。酸窒化ケイ素層455の部分集合は、窒化ケイ素部分(すなわち電荷トラップ材料部分454)の少なくとも1つに接触し、トンネル誘電体層556に接触する上側水平部分と、トンネル誘電体層556に接触する下側水平部分と、それぞれの絶縁層32の遠位側壁に接触する垂直部分を含む。各メモリ積層体構造(50、60)は、絶縁層32と導電層46との交互積層体(32、46)を通して延び、かつトンネル誘電体層556および垂直半導体チャネル60を含む。トンネル誘電体層556の外側壁の第1部分(すなわち外側酸化ケイ素層562の第1部分)は、絶縁層32の近位側壁に接触する。電荷トラップ材料部分454は、導電層46の各レベルに位置し、ケイ素および窒素を含む誘電体化合物(窒化ケイ素など)を含み、かつトンネル誘電体層556の外側壁の第2部分(すなわち、外側酸化ケイ素層562の第2部分)に接触する。
第2から第5例示的な構造は、それぞれ3次元メモリデバイスを含むことができる。一実施形態では、3次元メモリデバイスは、垂直NANDメモリデバイスを含む。導電層46は、モノリシック3次元NANDデバイスのそれぞれのワードラインを含み得るか、またはそれに電気的に接続され得る。基板(9、10)は、シリコン基板を含むことができる。垂直NANDメモリデバイスは、シリコン基板の上のモノリシック3次元NANDストリングのアレイを含むことができる。モノリシック3次元NANDストリングのアレイの第1デバイスレベルでの少なくとも1つのメモリセル(導電層46のレベルで電荷トラップ材料部分(274Vまたは454)として具現化される)は、モノリシック3次元NANDストリングのアレイの第2デバイスレベルでの別のメモリセル(別の導電層46のレベルで別の電荷トラップ材料部分(274Vまたは454)として具現化される)の上に位置することができる。シリコン基板は、集積回路であって、その上に位置するメモリデバイスのためのドライバ回路を含む集積回路を含むことができる。導電層46は、例えば裏面トレンチ79の対間において、基板(9、10)の上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極を含むことができる。複数の制御ゲート電極は、少なくとも、第1デバイスレベルに位置する第1制御ゲート電極と、第2デバイスレベルに位置する第2制御ゲート電極を含む。モノリシック3次元NANDストリングのアレイは、複数の半導体チャネル60であって、複数の半導体チャネルのそれぞれの少なくとも1つの端部が基板(9、10)の上面に実質的に垂直に延びる、複数の半導体チャネル60と、(電荷トラップ材料部分(274Vまたは454)を含む)複数の電荷蓄積素子を含むことができる。各電荷蓄積要素は、複数の半導体チャネルのそれぞれの1つに隣接して位置し得る。
電荷トラップ材料部分(274Vまたは454)は、垂直方向に絶縁層32によって互いに電気的に絶縁されている。電荷トラップ材料部分274Vは、少なくとも15原子パーセントの窒素を有する酸窒化ケイ素を含むことができ、部分454は、窒化ケイ素を含み得る。電荷トラップ材料部分(274Vまたは454)の垂直方向に隣接する対間の連続電荷トラップ材料層274または酸窒化ケイ素層455の部分は、絶縁層32の遠位側の周りに十分に高い電気抵抗および長い経路長を提供して、電荷トラップ材料部分(274Vまたは454)の垂直方向に隣接する各対間の漏れ電流を効果的に除去する。したがって、電荷トラップ材料部分(274Vまたは454)に蓄積された垂直方向に隣接する電荷間の干渉をなくすかまたは最小限に抑えることができ、メモリ素子に対する様々な動作を制御するための閾値電圧は、電荷トラップ材料部分(274Vまたは454)に蓄積されたデータに依存しないことができる。したがって、本開示の電荷トラップ材料部分(274Vまたは454)により、3次元メモリデバイスの信頼性および操作性を向上させることができる。
電荷トラップ材料部分(274Vまたは454)(すなわち電荷蓄積領域)は自己分離される。したがって、絶縁層32に隣接する各デバイスレベル間の電荷蓄積材料(すなわち、窒化ケイ素または酸窒化物)を除去するために別個のエッチングステップは必要ない。さらに、電荷トラップ材料部分(274Vまたは454)は、メモリ開口部49の体積を占めず、それにより、より小さいメモリ開口部直径およびより高いデバイス密度を可能にする。
以上では、特定の好ましい実施形態を示したが、本開示はそれらに限定されないことが理解されるであろう。開示された実施形態に対する様々な修正形態をなすことができ、そのような修正形態が本開示の範囲内にあるものと意図されていることが当業者に理解されるであろう。特定の構造および/または構成を使用する実施形態が本開示に示されている場合、置換が明示的に禁止されていない限り、または置換が不可能であることが当業者に知られていない限り、機能的に均等な任意の他の適合する構造および/または構成を用いて本開示を実施することもできることを理解されたい。本明細書で引用した刊行物、特許出願、および特許は、全てその全体が参照により本明細書に援用される。
以上では、特定の好ましい実施形態を示したが、本開示はそれらに限定されないことが理解されるであろう。開示された実施形態に対する様々な修正形態をなすことができ、そのような修正形態が本開示の範囲内にあるものと意図されていることが当業者に理解されるであろう。特定の構造および/または構成を使用する実施形態が本開示に示されている場合、置換が明示的に禁止されていない限り、または置換が不可能であることが当業者に知られていない限り、機能的に均等な任意の他の適合する構造および/または構成を用いて本開示を実施することもできることを理解されたい。本明細書で引用した刊行物、特許出願、および特許は、全てその全体が参照により本明細書に援用される。以下の項目は、国際出願時の特許請求の範囲に記載の要素である。
(項目1)
3次元メモリデバイスであって、
基板の上に位置する絶縁層と導電層の交互積層体と、
前記交互積層体を通して延びるとともに、組成変調電荷蓄積層、トンネル誘電体および垂直半導体チャネルを含むメモリ積層体構造と、を含み、
前記組成変調電荷蓄積層は、窒化ケイ素部分と酸窒化ケイ素部分の垂直交互積層体を含む、3次元メモリデバイス。
(項目2)
前記組成変調電荷蓄積層の各窒化ケイ素部分は、前記導電層の最も下のレベルから前記導電層の最も上のレベルまで延びる連続的な窒化ケイ素層の垂直部分である、項目1に記載の3次元メモリデバイス。
(項目3)
前記連続的な窒化ケイ素層の水平部分は、前記組成変調電荷蓄積層の前記窒化ケイ素部分よりも小さい厚さを有する、項目2に記載の3次元メモリデバイス。
(項目4)
前記連続的な窒化ケイ素層と各々の前記導電層との間に位置する少なくとも1つの裏面閉塞誘電体層をさらに含む、項目2に記載の3次元メモリデバイス。
(項目5)
前記少なくとも1つの裏面閉塞誘電体層は、連続的な酸化ケイ素層と連続的な誘電性金属酸化物層の積層体を含む、項目4に記載の3次元メモリデバイス。
(項目6)
前記絶縁層は、酸化ケイ素を含み、
前記連続的な窒化ケイ素層の水平部分と前記絶縁層の間の各水平界面領域は、垂直窒素濃度勾配を有する、項目2に記載の3次元メモリデバイス。
(項目7)
前記基板内の別の単結晶半導体材料にエピタキシャルに整列される単結晶半導体材料を含むエピタキシャルチャネル部分をさらに含み、
前記連続的な窒化ケイ素層の一部分は、前記エピタキシャル部分の側壁に接触する、項目2に記載の3次元メモリデバイス。
(項目8)
前記トンネル誘電体は、前記酸窒化ケイ素部分の実質的に垂直な内側壁と、前記窒化ケイ素部分の内側壁に接触する、項目1に記載の3次元メモリデバイス。
(項目9)
前記酸窒化ケイ素部分は、前記窒化ケイ素部分によって互いに垂直方向に離間されるとともに前記絶縁層の各レベルに位置する離散構造である、項目1に記載の3次元メモリデバイス。
(項目10)
各酸窒化ケイ素部分を側方で取り囲むとともに、各絶縁層によって側方で取り囲まれる環状酸化ケイ素部分をさらに含む、項目9に記載の3次元メモリデバイス。
(項目11)
前記窒化ケイ素部分は、前記導電層の各レベルに位置し、
前記酸窒化ケイ素部分は、前記絶縁層の各レベルに位置する、項目1に記載の3次元メモリデバイス。
(項目12)
前記酸化ケイ素部分の内側壁は、前記酸窒化ケイ素部分の内側壁と垂直方向に一致する、項目1に記載の3次元メモリデバイス。
(項目13)
前記酸窒化ケイ素部分のうちの少なくとも1つの最も下の酸窒化ケイ素部分は、前記垂直半導体チャネルの外側壁に接触し、
前記少なくとも1つの最も下の酸窒化ケイ素部分を除く全ての酸窒化ケイ素部分は、前記トンネル誘電体によって前記垂直半導体チャネルから側方で離間されている、項目1に記載の3次元メモリデバイス。
(項目14)
前記モノリシック3次元メモリ構造は、モノリシック3次元NANDメモリデバイスを含み、
前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
前記基板は、シリコン基板を含み、
前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板上にモノリシック3次元NANDストリングのアレイを含み、
モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を有し、
モノリシック3次元NANDストリングの前記アレイは、
複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれの1つに隣接して位置する複数の電荷蓄積要素と、
前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極と、を備えており、
前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極と、を含む、項目1に記載の3次元メモリデバイス。
(項目15)
デバイス構造を形成する方法であって、
基板の上に絶縁層とスペーサ材料層の交互積層体を形成することと、
前記交互積層体を通して延びるとともに、酸素含有誘電性ケイ素化合物層、トンネル誘電体および垂直半導体チャネルを含むインプロセスメモリ積層体構造を形成ことと、
前記スペーサ材料層のレベルでの前記酸素含有誘電性ケイ素化合物層の部分を、メモリデバイスの電荷蓄積要素である窒化ケイ素部分に変換することと、
を含む方法。
(項目16)
前記交互積層体を通して裏面トレンチを形成することと、
前記絶縁層に対して選択的に前記スペーサ材料層を除去することによって裏面凹部を形成することと、
前記酸素含有誘電性ケイ素化合物層の前記部分を前記窒化ケイ素部分に変換する前に、前記裏面凹部の各レベルで前記酸素含有誘電性ケイ素化合物層の外側壁を物理的に露出させることと、
をさらに含む、項目15に記載の方法。
(項目17)
前記インプロセスメモリ積層体構造は、前記酸素含有誘電性ケイ素化合物層を側方で取り囲む酸化ケイ素層をさらに含み、
前記絶縁層は、酸化ケイ素材料を含み、
前記スペーサ材料層の除去は、前記酸化ケイ素層に対して選択的に行われる、項目16に記載の方法。
(項目18)
前記スペーサ材料層は、窒化ケイ素を含み、
前記スペーサ材料層の除去後であるとともに前記酸素含有誘電性ケイ素化合物層の前記部分を前記窒化ケイ素部分に変換する前に、前記裏面凹部の各レベルで前記酸化ケイ素層の部分を除去すること、をさらに含む、項目17に記載の方法。
(項目19)
前記絶縁層の物理的に露出された表面部分と、前記酸素含有誘電性ケイ素化合物層の前記部分を連続的な窒化ケイ素材料部分に同時に変換することにより、連続的な窒化ケイ素層を形成こと、をさらに含み、
前記連続的な窒化ケイ素材料部分は、前記交互積層体内の最も下の絶縁層から最も上の絶縁層まで延びる、項目16に記載の方法。
(項目20)
前記酸素含有誘電性ケイ素化合物層の前記部分を前記窒化ケイ素部分に変換した後、前記裏面凹部の未充填体積内に導電層を形成すること、をさらに含む、項目16に記載の方法。
(項目21)
前記連続的な窒化ケイ素層上に少なくとも1つの裏面閉塞誘電体層を形成すること、をさらに含み、
前記導電層は、前記少なくとも1つの裏面閉塞誘電体層の形成後に前記裏面凹部の残った体積内に形成される、項目20に記載の方法。
(項目22)
前記少なくとも1つの裏面閉塞誘電体層は、連続的な酸化ケイ素層と連続的な誘電性金属酸化物層の積層体を含む、項目21に記載の方法。
(項目23)
前記交互積層体を通して延びるメモリ開口部を形成することと、
前記メモリ開口部の底部に、エピタキシャルチャネル部分を形成すること、をさらに含み、
前記エピタキシャルチャネル部分は、前記基板内の別の単結晶半導体材料にエピタキシャルに整列される単結晶半導体材料を含み、
インプロセスメモリ積層体構造は、前記メモリ開口部内の前記エピタキシャルチャネル部分の上面に形成される、項目15に記載の方法。
(項目24)
前記窒化ケイ素部分への前記酸素含有誘電性ケイ素化合物層の前記部分の変換は、熱窒化プロセスおよびプラズマ窒化プロセスから選択されるプロセスによって行われる、項目15に記載の方法。
(項目25)
前記酸素含有誘電性ケイ素化合物層は、酸窒化ケイ素層を含む、項目15に記載の方法。
(項目26)
前記酸素含有誘電性ケイ素化合物層は、酸化ケイ素層を含む、項目15に記載の方法。
(項目27)
前記デバイス構造は、モノリシック3次元NANDメモリデバイスを含み、
前記スペーサ材料層は、導電層で置換され、
前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
前記基板は、シリコン基板を含み、
前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板の上にモノリシック3次元NANDストリングのアレイを含み、
モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を有し、
モノリシック3次元NANDストリングの前記アレイは、
複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれの1つに隣接して位置する複数の電荷蓄積要素と、
前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極と、を備えており、
前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極と、を含む、項目15に記載の方法。
(項目28)
3次元メモリデバイスであって、
基板の上に位置する絶縁層と導電層の交互積層体と、
前記交互積層体を通して延びるとともに、外側壁の第1部分が前記絶縁層の近位側壁に接触するトンネル誘電体層と垂直半導体チャネルとを含むメモリ積層体構造と、
前記導電層の各レベルに位置し、ケイ素および窒素を含む誘電体化合物を含むとともに、前記トンネル誘電体層の前記外側壁の第2部分に接触する電荷トラップ材料部分と、
を含む3次元メモリデバイス。
(項目29)
前記電荷トラップ材料部分は、前記交互積層体を通して延びており、前記交互積層体内で導電層と絶縁層の垂直方向に隣接する各対間に位置する水平部分を含んでいるとともに、前記絶縁層の各遠位側壁に接触する追加の垂直部分を含む連続的な電荷トラップ材料層の垂直部分である、項目28に記載の3次元メモリデバイス。
(項目30)
前記連続的な電荷トラップ材料層は、連続的な酸窒化ケイ素層を含む、項目29に記載の3次元メモリデバイス。
(項目31)
前記トンネル誘電体層は、少なくとも1つの酸化ケイ素部分と、窒化ケイ素層と、前記垂直半導体チャネルに接触する酸化ケイ素層と、を有するONO積層体を含む、項目30に記載の3次元メモリデバイス。
(項目32)
前記少なくとも1つの酸化ケイ素部分は、前記トンネル誘電体層の前記外側壁を含むとともに、前記交互積層体内の複数の層を通して連続的に延びる連続的な酸化ケイ素層を含む、項目31に記載の3次元メモリデバイス。
(項目33)
前記少なくとも1つの酸化ケイ素部分は、前記トンネル誘電体層の前記外側壁の前記第2部分を含む複数の離散した酸化ケイ素部分を有し、前記導電層の各レベルに位置するとともに、垂直方向に前記絶縁層のレベルまで延びていない、項目31に記載の3次元メモリデバイス。
(項目34)
前記ONO積層体内の前記窒化ケイ素層の外側壁は、前記トンネル誘電体層の前記外側壁の前記第1部分を含み、
前記ONO積層体内の前記窒化ケイ素層は、前記複数の離散した酸化ケイ素部分の内側壁に接触する、項目33に記載の3次元メモリデバイス。
(項目35)
前記電荷トラップ材料部分は、第1酸窒化ケイ素材料を含み、
前記連続的な酸窒化ケイ素層の水平部分は、前記第1酸窒化ケイ素材料よりも低い平均窒素濃度を有する第2酸窒化ケイ素を含む、項目30に記載の3次元メモリデバイス。
(項目36)
前記電荷トラップ材料部分は、前記導電層の各レベルに位置するとともに垂直方向に前記絶縁層のレベルまで延びていない離散した窒化ケイ素部分を含む、項目28に記載の3次元メモリデバイス。
(項目37)
互いに垂直方向に離間されている酸窒化ケイ素層をさらに含み、
前記酸窒化ケイ素層の部分集合は、前記窒化ケイ素部分の少なくとも1つに接触するとともに前記トンネル誘電体層に接触する上側水平部分と、前記トンネル誘電体層に接触する下側水平部分と、前記それぞれの絶縁層の遠位側壁に接触する垂直部分と、を含む、項目36に記載の3次元メモリデバイス。
(項目38)
前記交互積層体を通して延びており、前記電荷トラップ材料部分のそれぞれに接触するとともに、前記交互積層体内において絶縁層と導電層の垂直方向に隣接する各対間に位置する、連続的な裏面閉塞誘電体層をさらに含む、項目28に記載の3次元メモリデバイス。
(項目39)
前記交互積層体は、テラス領域を含み、
前記テラス領域内において、前記交互積層体内の最も上の導電層以外の各導電層は、前記交互積層体内における任意の上に重なる導電層よりも遠くまで側方に延びており、
前記テラス領域は、前記交互積層体内の最下層から前記交互積層体内の最上層まで連続的に延びる前記交互積層体の階段状表面を含む、項目28に記載の3次元メモリデバイス。
(項目40)
前記3次元メモリデバイスは、モノリシック3次元NANDメモリデバイスを含み、
前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
前記基板は、シリコン基板を含み、
前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板の上にモノリシック3次元NANDストリングのアレイを含み、
モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を含み、
前記導電層は、前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極を含み、
前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極と、を含み、
モノリシック3次元NANDストリングの前記アレイは、
複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれ1つに隣接して位置する複数の電荷蓄積要素と、を含む、項目28に記載の3次元メモリデバイス。
(項目41)
3次元メモリデバイスを形成する方法であって、
基板の上に絶縁層と犠牲材料層との交互積層体を形成することと、
前記交互積層体を通してメモリ開口部を形成することと、
前記メモリ開口部にシリコン含有材料を形成することと、
前記メモリ開口部内の前記シリコン含有材料の上に、トンネル誘電体層の少なくとも1つのトンネル誘電体副層と垂直半導体チャネルとを含むメモリ積層体構造を形成することと、
前記シリコン含有材料に対して選択的に前記犠牲材料層を除去することによって裏面凹部を形成することと、
前記裏面凹部を通して、窒化プロセスによって、前記シリコン含有材料をケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分に少なくとも部分的に変換することと、
前記裏面凹部内に導電層を形成することと、を含む方法。
(項目42)
前記シリコン含有材料を形成することは、前記メモリ開口部の周りの前記犠牲材料層の各レベルにケイ素を含有する環状エッチストップ材料部分を形成することを含み、
前記トンネル誘電体層は、前記電荷トラップ材料部分のそれぞれに接触する少なくとも1つのトンネル誘電体部分をさらに含む、項目41に記載の方法。
(項目43)
前記窒化プロセスは、前記絶縁層および前記環状エッチストップ材料部分の物理的に露出された表面を窒化することにより、連続的な電荷トラップ材料層を形成する、項目42に記載の方法。
(項目44)
前記犠牲材料層は、窒化ケイ素層を含み、
前記環状エッチストップ材料部分は、前記メモリ開口部内に露出される前記窒化ケイ素層の内側部分を酸化して酸化ケイ素または酸窒化ケイ素部分にすることによって形成される、項目42に記載の方法。
(項目45)
前記窒化プロセスは、各環状エッチストップ材料部分の全体を前記電荷トラップ材料部分に変換する、項目44に記載の方法。
(項目46)
前記窒化プロセスは、各環状エッチストップ材料部分の外側領域を前記電荷トラップ材料部分に変換し、
前記環状エッチストップ材料部分の残った各内側領域は、前記少なくとも1つのトンネル誘電体副層に接触する外側トンネル誘電体部分を構成し、
前記少なくとも1つのトンネル誘電体副層と前記外側トンネル誘電体部分は、集合的に前記トンネル誘電体層を構成する、項目44に記載の方法。
(項目47)
前記少なくとも1つのトンネル誘電体副層内の各層は、少なくとも前記メモリ開口部内へのそれぞれの材料の堆積により、前記メモリ開口部内の体積全体内に形成される、項目44に記載の方法。
(項目48)
前記少なくとも1つのトンネル誘電体副層は、
前記絶縁層の内側部分および前記環状エッチストップ材料部分の窒化によって形成された窒化ケイ素副層と、
酸化ケイ素材料の堆積および前記窒化ケイ素層の内面領域の酸化から選択されるプロセスによって形成される酸化ケイ素副層と、
を含む、項目44に記載の方法。
(項目49)
前記窒化プロセスは、
前記環状エッチストップ材料部分の窒化により離散した窒化ケイ素部分と、
互いに垂直方向に離間されている酸窒化ケイ素層と、を形成し、
前記酸窒化ケイ素層の部分集合は、前記窒化ケイ素部分の少なくとも1つに接触するとともに、前記トンネル誘電体層に接触する上側水平部分と、前記トンネル誘電体層に接触する下側水平部分と、それぞれの絶縁層の遠位側壁に接触する垂直部分と、を含む、項目42に記載の方法。
(項目50)
前記メモリ開口部の周りの前記犠牲材料層を前記絶縁層の近位側壁に対して側方に陥凹させることにより、前記メモリ開口部の周りに側方の窪みを形成することと、
前記側方の窪みにシリコン含有半導体材料を堆積させることと、を含み、
前記環状エッチストップ材料部分は、前記シリコン含有半導体材料の離散部分である、項目42に記載の方法。
(項目51)
連続的な裏面閉塞誘電体層を前記裏面凹部内に形成することをさらに含み、
前記導電層は、前記連続的な裏面閉塞誘電体層に形成される、項目42に記載の方法。
(項目52)
前記交互積層体をパターン形成することによってテラス領域を形成することをさらに含み、
前記交互積層体内の最も上の犠牲材料層以外の各犠牲材料層は、前記交互積層体内における任意の上に重なる犠牲材料層よりも遠くまで側方に延びており、
前記テラス領域は、前記交互積層体内の最下層から前記交互積層体内の最上層まで連続的に延びる前記交互積層体の階段状表面を含む、項目41に記載の方法。
(項目53)
前記3次元メモリデバイスは、モノリシック3次元NANDメモリデバイスを含み、
前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
前記基板は、シリコン基板を含み、
前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板の上にモノリシック3次元NANDストリングのアレイを含み、
モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を含み、
前記導電層は、前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極を含み、
前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極を含み、
モノリシック3次元NANDストリングの前記アレイは、
複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれの1つに隣接して位置する複数の電荷蓄積要素と、を含む、項目41に記載の方法。

Claims (53)

  1. 3次元メモリデバイスであって、
    基板の上に位置する絶縁層と導電層の交互積層体と、
    前記交互積層体を通して延びるとともに、組成変調電荷蓄積層、トンネル誘電体および垂直半導体チャネルを含むメモリ積層体構造と、を含み、
    前記組成変調電荷蓄積層は、窒化ケイ素部分と酸窒化ケイ素部分の垂直交互積層体を含む、3次元メモリデバイス。
  2. 前記組成変調電荷蓄積層の各窒化ケイ素部分は、前記導電層の最も下のレベルから前記導電層の最も上のレベルまで延びる連続的な窒化ケイ素層の垂直部分である、請求項1に記載の3次元メモリデバイス。
  3. 前記連続的な窒化ケイ素層の水平部分は、前記組成変調電荷蓄積層の前記窒化ケイ素部分よりも小さい厚さを有する、請求項2に記載の3次元メモリデバイス。
  4. 前記連続的な窒化ケイ素層と各々の前記導電層との間に位置する少なくとも1つの裏面閉塞誘電体層をさらに含む、請求項2に記載の3次元メモリデバイス。
  5. 前記少なくとも1つの裏面閉塞誘電体層は、連続的な酸化ケイ素層と連続的な誘電性金属酸化物層の積層体を含む、請求項4に記載の3次元メモリデバイス。
  6. 前記絶縁層は、酸化ケイ素を含み、
    前記連続的な窒化ケイ素層の水平部分と前記絶縁層の間の各水平界面領域は、垂直窒素濃度勾配を有する、請求項2に記載の3次元メモリデバイス。
  7. 前記基板内の別の単結晶半導体材料にエピタキシャルに整列される単結晶半導体材料を含むエピタキシャルチャネル部分をさらに含み、
    前記連続的な窒化ケイ素層の一部分は、前記エピタキシャル部分の側壁に接触する、請求項2に記載の3次元メモリデバイス。
  8. 前記トンネル誘電体は、前記酸窒化ケイ素部分の実質的に垂直な内側壁と、前記窒化ケイ素部分の内側壁に接触する、請求項1に記載の3次元メモリデバイス。
  9. 前記酸窒化ケイ素部分は、前記窒化ケイ素部分によって互いに垂直方向に離間されるとともに前記絶縁層の各レベルに位置する離散構造である、請求項1に記載の3次元メモリデバイス。
  10. 各酸窒化ケイ素部分を側方で取り囲むとともに、各絶縁層によって側方で取り囲まれる環状酸化ケイ素部分をさらに含む、請求項9に記載の3次元メモリデバイス。
  11. 前記窒化ケイ素部分は、前記導電層の各レベルに位置し、
    前記酸窒化ケイ素部分は、前記絶縁層の各レベルに位置する、請求項1に記載の3次元メモリデバイス。
  12. 前記酸化ケイ素部分の内側壁は、前記酸窒化ケイ素部分の内側壁と垂直方向に一致する、請求項1に記載の3次元メモリデバイス。
  13. 前記酸窒化ケイ素部分のうちの少なくとも1つの最も下の酸窒化ケイ素部分は、前記垂直半導体チャネルの外側壁に接触し、
    前記少なくとも1つの最も下の酸窒化ケイ素部分を除く全ての酸窒化ケイ素部分は、前記トンネル誘電体によって前記垂直半導体チャネルから側方で離間されている、請求項1に記載の3次元メモリデバイス。
  14. 前記モノリシック3次元メモリ構造は、モノリシック3次元NANDメモリデバイスを含み、
    前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
    前記基板は、シリコン基板を含み、
    前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板上にモノリシック3次元NANDストリングのアレイを含み、
    モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
    前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を有し、
    モノリシック3次元NANDストリングの前記アレイは、
    複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
    複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれの1つに隣接して位置する複数の電荷蓄積要素と、
    前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極と、を備えており、
    前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極と、を含む、請求項1に記載の3次元メモリデバイス。
  15. デバイス構造を形成する方法であって、
    基板の上に絶縁層とスペーサ材料層の交互積層体を形成することと、
    前記交互積層体を通して延びるとともに、酸素含有誘電性ケイ素化合物層、トンネル誘電体および垂直半導体チャネルを含むインプロセスメモリ積層体構造を形成ことと、
    前記スペーサ材料層のレベルでの前記酸素含有誘電性ケイ素化合物層の部分を、メモリデバイスの電荷蓄積要素である窒化ケイ素部分に変換することと、
    を含む方法。
  16. 前記交互積層体を通して裏面トレンチを形成することと、
    前記絶縁層に対して選択的に前記スペーサ材料層を除去することによって裏面凹部を形成することと、
    前記酸素含有誘電性ケイ素化合物層の前記部分を前記窒化ケイ素部分に変換する前に、前記裏面凹部の各レベルで前記酸素含有誘電性ケイ素化合物層の外側壁を物理的に露出させることと、
    をさらに含む、請求項15に記載の方法。
  17. 前記インプロセスメモリ積層体構造は、前記酸素含有誘電性ケイ素化合物層を側方で取り囲む酸化ケイ素層をさらに含み、
    前記絶縁層は、酸化ケイ素材料を含み、
    前記スペーサ材料層の除去は、前記酸化ケイ素層に対して選択的に行われる、請求項16に記載の方法。
  18. 前記スペーサ材料層は、窒化ケイ素を含み、
    前記スペーサ材料層の除去後であるとともに前記酸素含有誘電性ケイ素化合物層の前記部分を前記窒化ケイ素部分に変換する前に、前記裏面凹部の各レベルで前記酸化ケイ素層の部分を除去すること、をさらに含む、請求項17に記載の方法。
  19. 前記絶縁層の物理的に露出された表面部分と、前記酸素含有誘電性ケイ素化合物層の前記部分を連続的な窒化ケイ素材料部分に同時に変換することにより、連続的な窒化ケイ素層を形成こと、をさらに含み、
    前記連続的な窒化ケイ素材料部分は、前記交互積層体内の最も下の絶縁層から最も上の絶縁層まで延びる、請求項16に記載の方法。
  20. 前記酸素含有誘電性ケイ素化合物層の前記部分を前記窒化ケイ素部分に変換した後、前記裏面凹部の未充填体積内に導電層を形成すること、をさらに含む、請求項16に記載の方法。
  21. 前記連続的な窒化ケイ素層上に少なくとも1つの裏面閉塞誘電体層を形成すること、をさらに含み、
    前記導電層は、前記少なくとも1つの裏面閉塞誘電体層の形成後に前記裏面凹部の残った体積内に形成される、請求項20に記載の方法。
  22. 前記少なくとも1つの裏面閉塞誘電体層は、連続的な酸化ケイ素層と連続的な誘電性金属酸化物層の積層体を含む、請求項21に記載の方法。
  23. 前記交互積層体を通して延びるメモリ開口部を形成することと、
    前記メモリ開口部の底部に、エピタキシャルチャネル部分を形成すること、をさらに含み、
    前記エピタキシャルチャネル部分は、前記基板内の別の単結晶半導体材料にエピタキシャルに整列される単結晶半導体材料を含み、
    インプロセスメモリ積層体構造は、前記メモリ開口部内の前記エピタキシャルチャネル部分の上面に形成される、請求項15に記載の方法。
  24. 前記窒化ケイ素部分への前記酸素含有誘電性ケイ素化合物層の前記部分の変換は、熱窒化プロセスおよびプラズマ窒化プロセスから選択されるプロセスによって行われる、請求項15に記載の方法。
  25. 前記酸素含有誘電性ケイ素化合物層は、酸窒化ケイ素層を含む、請求項15に記載の方法。
  26. 前記酸素含有誘電性ケイ素化合物層は、酸化ケイ素層を含む、請求項15に記載の方法。
  27. 前記デバイス構造は、モノリシック3次元NANDメモリデバイスを含み、
    前記スペーサ材料層は、導電層で置換され、
    前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
    前記基板は、シリコン基板を含み、
    前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板の上にモノリシック3次元NANDストリングのアレイを含み、
    モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
    前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を有し、
    モノリシック3次元NANDストリングの前記アレイは、
    複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
    複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれの1つに隣接して位置する複数の電荷蓄積要素と、
    前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極と、を備えており、
    前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極と、を含む、請求項15に記載の方法。
  28. 3次元メモリデバイスであって、
    基板の上に位置する絶縁層と導電層の交互積層体と、
    前記交互積層体を通して延びるとともに、外側壁の第1部分が前記絶縁層の近位側壁に接触するトンネル誘電体層と垂直半導体チャネルとを含むメモリ積層体構造と、
    前記導電層の各レベルに位置し、ケイ素および窒素を含む誘電体化合物を含むとともに、前記トンネル誘電体層の前記外側壁の第2部分に接触する電荷トラップ材料部分と、
    を含む3次元メモリデバイス。
  29. 前記電荷トラップ材料部分は、前記交互積層体を通して延びており、前記交互積層体内で導電層と絶縁層の垂直方向に隣接する各対間に位置する水平部分を含んでいるとともに、前記絶縁層の各遠位側壁に接触する追加の垂直部分を含む連続的な電荷トラップ材料層の垂直部分である、請求項28に記載の3次元メモリデバイス。
  30. 前記連続的な電荷トラップ材料層は、連続的な酸窒化ケイ素層を含む、請求項29に記載の3次元メモリデバイス。
  31. 前記トンネル誘電体層は、少なくとも1つの酸化ケイ素部分と、窒化ケイ素層と、前記垂直半導体チャネルに接触する酸化ケイ素層と、を有するONO積層体を含む、請求項30に記載の3次元メモリデバイス。
  32. 前記少なくとも1つの酸化ケイ素部分は、前記トンネル誘電体層の前記外側壁を含むとともに、前記交互積層体内の複数の層を通して連続的に延びる連続的な酸化ケイ素層を含む、請求項31に記載の3次元メモリデバイス。
  33. 前記少なくとも1つの酸化ケイ素部分は、前記トンネル誘電体層の前記外側壁の前記第2部分を含む複数の離散した酸化ケイ素部分を有し、前記導電層の各レベルに位置するとともに、垂直方向に前記絶縁層のレベルまで延びていない、請求項31に記載の3次元メモリデバイス。
  34. 前記ONO積層体内の前記窒化ケイ素層の外側壁は、前記トンネル誘電体層の前記外側壁の前記第1部分を含み、
    前記ONO積層体内の前記窒化ケイ素層は、前記複数の離散した酸化ケイ素部分の内側壁に接触する、請求項33に記載の3次元メモリデバイス。
  35. 前記電荷トラップ材料部分は、第1酸窒化ケイ素材料を含み、
    前記連続的な酸窒化ケイ素層の水平部分は、前記第1酸窒化ケイ素材料よりも低い平均窒素濃度を有する第2酸窒化ケイ素を含む、請求項30に記載の3次元メモリデバイス。
  36. 前記電荷トラップ材料部分は、前記導電層の各レベルに位置するとともに垂直方向に前記絶縁層のレベルまで延びていない離散した窒化ケイ素部分を含む、請求項28に記載の3次元メモリデバイス。
  37. 互いに垂直方向に離間されている酸窒化ケイ素層をさらに含み、
    前記酸窒化ケイ素層の部分集合は、前記窒化ケイ素部分の少なくとも1つに接触するとともに前記トンネル誘電体層に接触する上側水平部分と、前記トンネル誘電体層に接触する下側水平部分と、前記それぞれの絶縁層の遠位側壁に接触する垂直部分と、を含む、請求項36に記載の3次元メモリデバイス。
  38. 前記交互積層体を通して延びており、前記電荷トラップ材料部分のそれぞれに接触するとともに、前記交互積層体内において絶縁層と導電層の垂直方向に隣接する各対間に位置する、連続的な裏面閉塞誘電体層をさらに含む、請求項28に記載の3次元メモリデバイス。
  39. 前記交互積層体は、テラス領域を含み、
    前記テラス領域内において、前記交互積層体内の最も上の導電層以外の各導電層は、前記交互積層体内における任意の上に重なる導電層よりも遠くまで側方に延びており、
    前記テラス領域は、前記交互積層体内の最下層から前記交互積層体内の最上層まで連続的に延びる前記交互積層体の階段状表面を含む、請求項28に記載の3次元メモリデバイス。
  40. 前記3次元メモリデバイスは、モノリシック3次元NANDメモリデバイスを含み、
    前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
    前記基板は、シリコン基板を含み、
    前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板の上にモノリシック3次元NANDストリングのアレイを含み、
    モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
    前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を含み、
    前記導電層は、前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極を含み、
    前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極と、を含み、
    モノリシック3次元NANDストリングの前記アレイは、
    複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
    複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれ1つに隣接して位置する複数の電荷蓄積要素と、を含む、請求項28に記載の3次元メモリデバイス。
  41. 3次元メモリデバイスを形成する方法であって、
    基板の上に絶縁層と犠牲材料層との交互積層体を形成することと、
    前記交互積層体を通してメモリ開口部を形成することと、
    前記メモリ開口部にシリコン含有材料を形成することと、
    前記メモリ開口部内の前記シリコン含有材料の上に、トンネル誘電体層の少なくとも1つのトンネル誘電体副層と垂直半導体チャネルとを含むメモリ積層体構造を形成することと、
    前記シリコン含有材料に対して選択的に前記犠牲材料層を除去することによって裏面凹部を形成することと、
    前記裏面凹部を通して、窒化プロセスによって、前記シリコン含有材料をケイ素および窒素を含む誘電体化合物を含む電荷トラップ材料部分に少なくとも部分的に変換することと、
    前記裏面凹部内に導電層を形成することと、を含む方法。
  42. 前記シリコン含有材料を形成することは、前記メモリ開口部の周りの前記犠牲材料層の各レベルにケイ素を含有する環状エッチストップ材料部分を形成することを含み、
    前記トンネル誘電体層は、前記電荷トラップ材料部分のそれぞれに接触する少なくとも1つのトンネル誘電体部分をさらに含む、請求項41に記載の方法。
  43. 前記窒化プロセスは、前記絶縁層および前記環状エッチストップ材料部分の物理的に露出された表面を窒化することにより、連続的な電荷トラップ材料層を形成する、請求項42に記載の方法。
  44. 前記犠牲材料層は、窒化ケイ素層を含み、
    前記環状エッチストップ材料部分は、前記メモリ開口部内に露出される前記窒化ケイ素層の内側部分を酸化して酸化ケイ素または酸窒化ケイ素部分にすることによって形成される、請求項42に記載の方法。
  45. 前記窒化プロセスは、各環状エッチストップ材料部分の全体を前記電荷トラップ材料部分に変換する、請求項44に記載の方法。
  46. 前記窒化プロセスは、各環状エッチストップ材料部分の外側領域を前記電荷トラップ材料部分に変換し、
    前記環状エッチストップ材料部分の残った各内側領域は、前記少なくとも1つのトンネル誘電体副層に接触する外側トンネル誘電体部分を構成し、
    前記少なくとも1つのトンネル誘電体副層と前記外側トンネル誘電体部分は、集合的に前記トンネル誘電体層を構成する、請求項44に記載の方法。
  47. 前記少なくとも1つのトンネル誘電体副層内の各層は、少なくとも前記メモリ開口部内へのそれぞれの材料の堆積により、前記メモリ開口部内の体積全体内に形成される、請求項44に記載の方法。
  48. 前記少なくとも1つのトンネル誘電体副層は、
    前記絶縁層の内側部分および前記環状エッチストップ材料部分の窒化によって形成された窒化ケイ素副層と、
    酸化ケイ素材料の堆積および前記窒化ケイ素層の内面領域の酸化から選択されるプロセスによって形成される酸化ケイ素副層と、
    を含む、請求項44に記載の方法。
  49. 前記窒化プロセスは、
    前記環状エッチストップ材料部分の窒化により離散した窒化ケイ素部分と、
    互いに垂直方向に離間されている酸窒化ケイ素層と、を形成し、
    前記酸窒化ケイ素層の部分集合は、前記窒化ケイ素部分の少なくとも1つに接触するとともに、前記トンネル誘電体層に接触する上側水平部分と、前記トンネル誘電体層に接触する下側水平部分と、それぞれの絶縁層の遠位側壁に接触する垂直部分と、を含む、請求項42に記載の方法。
  50. 前記メモリ開口部の周りの前記犠牲材料層を前記絶縁層の近位側壁に対して側方に陥凹させることにより、前記メモリ開口部の周りに側方の窪みを形成することと、
    前記側方の窪みにシリコン含有半導体材料を堆積させることと、を含み、
    前記環状エッチストップ材料部分は、前記シリコン含有半導体材料の離散部分である、請求項42に記載の方法。
  51. 連続的な裏面閉塞誘電体層を前記裏面凹部内に形成することをさらに含み、
    前記導電層は、前記連続的な裏面閉塞誘電体層に形成される、請求項42に記載の方法。
  52. 前記交互積層体をパターン形成することによってテラス領域を形成することをさらに含み、
    前記交互積層体内の最も上の犠牲材料層以外の各犠牲材料層は、前記交互積層体内における任意の上に重なる犠牲材料層よりも遠くまで側方に延びており、
    前記テラス領域は、前記交互積層体内の最下層から前記交互積層体内の最上層まで連続的に延びる前記交互積層体の階段状表面を含む、請求項41に記載の方法。
  53. 前記3次元メモリデバイスは、モノリシック3次元NANDメモリデバイスを含み、
    前記導電層は、前記モノリシック3次元NANDメモリデバイスのそれぞれのワードラインを含むか、またはそれに電気的に接続され、
    前記基板は、シリコン基板を含み、
    前記モノリシック3次元NANDメモリデバイスは、前記シリコン基板の上にモノリシック3次元NANDストリングのアレイを含み、
    モノリシック3次元NANDストリングの前記アレイの第1デバイスレベルでの少なくとも1つのメモリセルは、モノリシック3次元NANDストリングの前記アレイの第2デバイスレベルでの別のメモリセルの上に位置し、
    前記シリコン基板は、集積回路であって、その上に位置する前記メモリデバイスのためのドライバ回路を含む集積回路を含み、
    前記導電層は、前記基板の前記上面に実質的に平行に延びるストリップ形状を有する複数の制御ゲート電極を含み、
    前記複数の制御ゲート電極は、少なくとも、前記第1デバイスレベルに位置する第1制御ゲート電極と、前記第2デバイスレベルに位置する第2制御ゲート電極を含み、
    モノリシック3次元NANDストリングの前記アレイは、
    複数の半導体チャネルであって、前記複数の半導体チャネルのそれぞれの少なくとも1つの端部が前記基板の上面に実質的に垂直に延びる複数の半導体チャネルと、
    複数の電荷蓄積要素であって、各電荷蓄積要素が前記複数の半導体チャネルのそれぞれの1つに隣接して位置する複数の電荷蓄積要素と、を含む、請求項41に記載の方法。
JP2018530707A 2016-03-25 2017-02-16 垂直方向に隔離された電荷蓄積領域を含む3次元メモリデバイスおよびその形成方法 Expired - Fee Related JP6550541B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662313234P 2016-03-25 2016-03-25
US62/313,234 2016-03-25
US15/158,954 US9711530B1 (en) 2016-03-25 2016-05-19 Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US15/158,954 2016-05-19
US15/250,185 2016-08-29
US15/250,185 US9812463B2 (en) 2016-03-25 2016-08-29 Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
PCT/US2017/018211 WO2017165027A1 (en) 2016-03-25 2017-02-16 Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof

Publications (2)

Publication Number Publication Date
JP2019510362A true JP2019510362A (ja) 2019-04-11
JP6550541B2 JP6550541B2 (ja) 2019-07-24

Family

ID=59898214

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018530707A Expired - Fee Related JP6550541B2 (ja) 2016-03-25 2017-02-16 垂直方向に隔離された電荷蓄積領域を含む3次元メモリデバイスおよびその形成方法

Country Status (4)

Country Link
US (1) US9812463B2 (ja)
EP (2) EP3375016B1 (ja)
JP (1) JP6550541B2 (ja)
WO (1) WO2017165027A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022529261A (ja) * 2019-04-15 2022-06-20 マイクロン テクノロジー,インク. ルテニウム含有導電性ゲートを含むアセンブリ
JP2022533461A (ja) * 2020-02-17 2022-07-22 長江存儲科技有限責任公司 3次元メモリデバイスおよびその製造方法
JP2022533516A (ja) * 2019-05-09 2022-07-25 インテル・コーポレーション コンタクトの高さの差が大きいメモリ用途のための非導電性エッチングストップ構造
US11882705B2 (en) 2020-06-05 2024-01-23 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device, operating method of the same and electronic system including the same
KR102667652B1 (ko) * 2019-04-15 2024-05-22 마이크론 테크놀로지, 인크 루테늄-함유 전도성 게이트를 포함하는 조립체

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892930B1 (en) * 2016-09-20 2018-02-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9972640B1 (en) 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof
US10707121B2 (en) * 2016-12-31 2020-07-07 Intel Corporatino Solid state memory device, and manufacturing method thereof
US10431591B2 (en) 2017-02-01 2019-10-01 Micron Technology, Inc. NAND memory arrays
US10083981B2 (en) * 2017-02-01 2018-09-25 Micron Technology, Inc. Memory arrays, and methods of forming memory arrays
JP6306233B1 (ja) * 2017-02-28 2018-04-04 ウィンボンド エレクトロニクス コーポレーション フラッシュメモリおよびその製造方法
KR102421766B1 (ko) * 2017-07-07 2022-07-18 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP6563988B2 (ja) * 2017-08-24 2019-08-21 ウィンボンド エレクトロニクス コーポレーション 不揮発性半導体記憶装置
CN109712958B (zh) * 2017-10-25 2021-01-05 旺宏电子股份有限公司 阶梯接触结构、阶梯接触结构的制造方法以及存储器结构
TWI643317B (zh) * 2017-12-01 2018-12-01 旺宏電子股份有限公司 記憶體元件及其製作方法
US10236301B1 (en) 2017-12-27 2019-03-19 Micron Technology, Inc. Methods of forming an array of elevationally-extending strings of memory cells
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10559588B2 (en) * 2018-01-12 2020-02-11 Sandisk Technologies Llc Three-dimensional flat inverse NAND memory device and method of making the same
US10903232B2 (en) 2018-02-14 2021-01-26 Sandisk Technologies Llc Three-dimensional memory devices containing memory stack structures with laterally separated charge storage elements and method of making thereof
JP6976190B2 (ja) * 2018-02-20 2021-12-08 キオクシア株式会社 記憶装置
US10580783B2 (en) 2018-03-01 2020-03-03 Sandisk Technologies Llc Multi-tier three-dimensional memory device containing differential etch rate field oxides and method of making the same
JP2019161042A (ja) * 2018-03-14 2019-09-19 東芝メモリ株式会社 半導体装置
US10586803B2 (en) * 2018-04-24 2020-03-10 Sandisk Technologies Llc Three-dimensional memory device and methods of making the same using replacement drain select gate electrodes
US10388665B1 (en) * 2018-05-30 2019-08-20 Micron Technology, Inc. Methods of forming an array of elevationally-extending strings of memory cells having a stack comprising vertically-alternating insulative tiers and wordline tiers and horizontally-elongated trenches in the stack
US10516025B1 (en) 2018-06-15 2019-12-24 Sandisk Technologies Llc Three-dimensional NAND memory containing dual protrusion charge trapping regions and methods of manufacturing the same
US10998331B2 (en) * 2018-06-27 2021-05-04 Sandisk Technologies Llc Three-dimensional inverse flat NAND memory device containing partially discrete charge storage elements and methods of making the same
WO2020019301A1 (en) * 2018-07-27 2020-01-30 Yangtze Memory Technologies Co., Ltd. Multiple-stack three-dimensional memory device and fabrication method thereof
JP2020047702A (ja) * 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法
US10692884B2 (en) * 2018-09-21 2020-06-23 Sandisk Technologies Llc Three-dimensional memory device including bottle-shaped memory stack structures and drain-select gate electrodes having cylindrical portions
WO2020076764A1 (en) * 2018-10-09 2020-04-16 Micron Technology, Inc. Methods of forming a device, and related devices and electronic systems
US10658380B2 (en) * 2018-10-15 2020-05-19 Micron Technology, Inc. Formation of termination structures in stacked memory arrays
WO2020118575A1 (en) * 2018-12-12 2020-06-18 Yangtze Memory Technologies Co., Ltd. Contact structures for three-dimensional memory device
US11469214B2 (en) * 2018-12-22 2022-10-11 Xcelsis Corporation Stacked architecture for three-dimensional NAND
US10784273B2 (en) * 2019-01-18 2020-09-22 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
US11127760B2 (en) * 2019-02-01 2021-09-21 Applied Materials, Inc. Vertical transistor fabrication for memory applications
US11177269B2 (en) 2019-02-15 2021-11-16 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
JP2020145218A (ja) * 2019-03-04 2020-09-10 キオクシア株式会社 半導体記憶装置及び半導体記憶装置の製造方法
CN110071114B (zh) * 2019-03-29 2020-04-17 长江存储科技有限责任公司 3d nand闪存及其制备方法
JP2022519752A (ja) * 2019-03-29 2022-03-24 長江存儲科技有限責任公司 3次元メモリデバイス
CN110914986B (zh) 2019-03-29 2021-05-14 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110114880B (zh) 2019-03-29 2020-10-30 长江存储科技有限责任公司 具有氮化硅栅极到栅极电介质层的存储堆叠体及其形成方法
WO2020198943A1 (en) * 2019-03-29 2020-10-08 Yangtze Memory Technologies Co., Ltd. Memory stacks having silicon oxynitride gate-to-gate dielectric layers and methods for forming the same
CN110896672B (zh) 2019-03-29 2021-05-25 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110047839B (zh) * 2019-03-29 2020-05-19 长江存储科技有限责任公司 3d nand闪存及制备方法
EP3878013B1 (en) * 2019-03-29 2024-04-03 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabrication methods thereof
CN110896671B (zh) * 2019-03-29 2021-07-30 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896670B (zh) 2019-03-29 2021-06-08 长江存储科技有限责任公司 三维存储器件及其制造方法
US11189635B2 (en) * 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US10930658B2 (en) 2019-06-24 2021-02-23 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
KR20210014440A (ko) 2019-07-30 2021-02-09 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US10950626B2 (en) 2019-08-13 2021-03-16 Sandisk Technologies Llc Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US11018153B2 (en) 2019-08-13 2021-05-25 Sandisk Technologies Llc Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US11075219B2 (en) 2019-08-20 2021-07-27 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US10796952B1 (en) * 2019-08-21 2020-10-06 Macronix International Co., Ltd. Memory device and method of fabricating the same
US11107830B2 (en) 2019-08-22 2021-08-31 Micron Technology, Inc. Integrated assemblies having vertically-spaced channel material segments, and methods of forming integrated assemblies
US11195848B2 (en) 2019-08-25 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11205654B2 (en) 2019-08-25 2021-12-21 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11563022B2 (en) 2019-08-25 2023-01-24 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11244955B2 (en) 2019-08-25 2022-02-08 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US10825691B1 (en) * 2019-08-29 2020-11-03 Micron Technology, Inc. Semiconductor structure stack
CN112635488B (zh) * 2019-09-29 2024-05-24 长江存储科技有限责任公司 三维存储器件及其形成方法
US11011408B2 (en) 2019-10-11 2021-05-18 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11152388B2 (en) 2019-10-15 2021-10-19 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11195850B2 (en) 2019-10-18 2021-12-07 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11094627B2 (en) 2019-10-25 2021-08-17 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
US11101210B2 (en) 2019-10-25 2021-08-24 Micron Technology, Inc. Methods for manufacturing a memory array having strings of memory cells comprising forming bridge material between memory blocks
US11335694B2 (en) 2019-12-03 2022-05-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11205660B2 (en) 2019-12-06 2021-12-21 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
KR20210075689A (ko) * 2019-12-13 2021-06-23 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR20210082299A (ko) * 2019-12-24 2021-07-05 삼성전자주식회사 반도체 장치
US11094595B2 (en) 2019-12-27 2021-08-17 Micron Technology, Inc. Memory arrays and methods used in forming a memory array comprising strings of memory cells
US11444093B2 (en) 2020-01-10 2022-09-13 Micron Technology, Inc. Memory arrays and methods of forming memory arrays
US11276701B2 (en) 2020-02-11 2022-03-15 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11557597B2 (en) 2020-03-03 2023-01-17 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11139386B2 (en) 2020-03-03 2021-10-05 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11121145B1 (en) 2020-03-03 2021-09-14 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
TWI730677B (zh) * 2020-03-18 2021-06-11 力晶積成電子製造股份有限公司 記憶體元件及其製造方法
US11257839B2 (en) 2020-05-12 2022-02-22 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11411012B2 (en) 2020-05-13 2022-08-09 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
US11282847B2 (en) 2020-05-13 2022-03-22 Micron Technology, Inc. Methods used in forming a memory array comprising strings of memory cells
US11251190B2 (en) 2020-05-13 2022-02-15 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
US11387243B2 (en) 2020-05-13 2022-07-12 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
JP2021190566A (ja) * 2020-05-29 2021-12-13 キオクシア株式会社 半導体記憶装置
US11393835B2 (en) 2020-06-05 2022-07-19 Micron Technology, Inc. Memory arrays comprising strings of memory cells and methods used in forming a memory array comprising strings of memory cells
KR20210157791A (ko) 2020-06-22 2021-12-29 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조방법
US11545430B2 (en) 2020-08-28 2023-01-03 Micron Technology, Inc. Integrated circuitry and method used in forming a memory array comprising strings of memory cells
US11672118B2 (en) * 2020-09-04 2023-06-06 Micron Technology, Inc. Electronic devices comprising adjoining oxide materials and related systems
CN112071755B (zh) * 2020-09-17 2024-04-23 长江存储科技有限责任公司 刻蚀方法及三维存储器的制作方法
US11289491B1 (en) * 2020-09-29 2022-03-29 Micron Technology, Inc. Epitaxtal single crystalline silicon growth for a horizontal access device
JP2022120425A (ja) * 2021-02-05 2022-08-18 キオクシア株式会社 半導体記憶装置
TWI824382B (zh) * 2022-01-13 2023-12-01 旺宏電子股份有限公司 三維記憶體結構及其製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013534058A (ja) * 2010-06-30 2013-08-29 サンディスク テクノロジィース インコーポレイテッド 超高密度垂直nandメモリデバイスおよびそれを作る方法
US8877590B1 (en) * 2013-06-28 2014-11-04 SK Hynix Inc. Semiconductor memory device and method of manufacturing the same
US20160086972A1 (en) * 2014-08-26 2016-03-24 SanDisk Technologies, Inc. Monolithic three-dimensional nand strings and methods of fabrication thereof

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084417A (en) 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5807788A (en) 1996-11-20 1998-09-15 International Business Machines Corporation Method for selective deposition of refractory metal and device formed thereby
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
EP2323164B1 (en) 2000-08-14 2015-11-25 SanDisk 3D LLC Multilevel memory array and method for making same
US7233522B2 (en) 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7221588B2 (en) 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7177191B2 (en) 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
US7535060B2 (en) 2006-03-08 2009-05-19 Freescale Semiconductor, Inc. Charge storage structure formation in transistor with vertical channel region
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US7745265B2 (en) 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7851851B2 (en) 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7808038B2 (en) 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
KR101226685B1 (ko) 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
CN101911287B (zh) 2007-12-27 2013-05-15 株式会社东芝 半导体存储器件及其制造方法
US7745312B2 (en) 2008-01-15 2010-06-29 Sandisk 3D, Llc Selective germanium deposition for pillar devices
US7906392B2 (en) 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP5086851B2 (ja) 2008-03-14 2012-11-28 株式会社東芝 不揮発性半導体記憶装置
JP2009277770A (ja) 2008-05-13 2009-11-26 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2009289950A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体記憶装置
JP4802313B2 (ja) 2008-08-01 2011-10-26 ニッコー株式会社 圧電振動子の保持装置
JP5288936B2 (ja) 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
KR101478678B1 (ko) 2008-08-21 2015-01-02 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP4675996B2 (ja) 2008-09-10 2011-04-27 株式会社東芝 不揮発性半導体記憶装置
US7994011B2 (en) 2008-11-12 2011-08-09 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
KR101527192B1 (ko) 2008-12-10 2015-06-10 삼성전자주식회사 불휘발성 메모리 소자 및 그의 제조방법
US20100155818A1 (en) 2008-12-24 2010-06-24 Heung-Jae Cho Vertical channel type nonvolatile memory device and method for fabricating the same
KR101495806B1 (ko) 2008-12-24 2015-02-26 삼성전자주식회사 비휘발성 기억 소자
KR101551901B1 (ko) 2008-12-31 2015-09-09 삼성전자주식회사 반도체 기억 소자 및 그 형성 방법
KR101481104B1 (ko) 2009-01-19 2015-01-13 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
JP2010192569A (ja) 2009-02-17 2010-09-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR101532366B1 (ko) 2009-02-25 2015-07-01 삼성전자주식회사 반도체 기억 소자
KR101624980B1 (ko) 2009-06-19 2016-05-27 삼성전자주식회사 비휘발성 메모리 소자
KR101616089B1 (ko) 2009-06-22 2016-04-28 삼성전자주식회사 3차원 반도체 메모리 소자
JP5398388B2 (ja) 2009-06-30 2014-01-29 株式会社東芝 不揮発性半導体記憶装置
KR101045073B1 (ko) 2009-08-07 2011-06-29 주식회사 하이닉스반도체 수직채널형 비휘발성 메모리 소자 및 그 제조 방법
JP5518880B2 (ja) 2009-09-17 2014-06-11 株式会社東芝 半導体装置の製造方法
KR101584113B1 (ko) 2009-09-29 2016-01-13 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
KR101660432B1 (ko) 2010-06-07 2016-09-27 삼성전자 주식회사 수직 구조의 반도체 메모리 소자
JP2012009512A (ja) 2010-06-22 2012-01-12 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US8237213B2 (en) 2010-07-15 2012-08-07 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and the formation thereof
KR101825539B1 (ko) 2010-10-05 2018-03-22 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
US20130341701A1 (en) 2010-10-18 2013-12-26 Imec Vertical Semiconductor Memory Device and Manufacturing Method Thereof
US8445347B2 (en) 2011-04-11 2013-05-21 Sandisk Technologies Inc. 3D vertical NAND and method of making thereof by front and back side processing
JP2012227326A (ja) 2011-04-19 2012-11-15 Toshiba Corp 不揮発性半導体記憶装置とその製造方法
US8722525B2 (en) 2011-06-21 2014-05-13 Micron Technology, Inc. Multi-tiered semiconductor devices and associated methods
KR20130024303A (ko) 2011-08-31 2013-03-08 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
KR20130072911A (ko) 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9178077B2 (en) 2012-11-13 2015-11-03 Micron Technology, Inc. Semiconductor constructions
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
KR101876305B1 (ko) 2013-01-04 2018-07-11 삼성전자주식회사 반도체 장치 및 그의 형성방법
KR102035279B1 (ko) 2013-02-04 2019-10-22 삼성전자주식회사 반도체 메모리 소자 및 그의 제조 방법
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
KR20140134178A (ko) 2013-05-13 2014-11-21 에스케이하이닉스 주식회사 반도체 장치
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9460931B2 (en) 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
US9209031B2 (en) 2014-03-07 2015-12-08 Sandisk Technologies Inc. Metal replacement process for low resistance source contacts in 3D NAND
US20150340274A1 (en) 2014-05-23 2015-11-26 GlobalFoundries, Inc. Methods for producing integrated circuits with an insultating layer
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9620514B2 (en) 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9666594B2 (en) 2014-09-05 2017-05-30 Sandisk Technologies Llc Multi-charge region memory cells for a vertical NAND device
KR20160056243A (ko) 2014-11-11 2016-05-19 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013534058A (ja) * 2010-06-30 2013-08-29 サンディスク テクノロジィース インコーポレイテッド 超高密度垂直nandメモリデバイスおよびそれを作る方法
US8877590B1 (en) * 2013-06-28 2014-11-04 SK Hynix Inc. Semiconductor memory device and method of manufacturing the same
US20160086972A1 (en) * 2014-08-26 2016-03-24 SanDisk Technologies, Inc. Monolithic three-dimensional nand strings and methods of fabrication thereof

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022529261A (ja) * 2019-04-15 2022-06-20 マイクロン テクノロジー,インク. ルテニウム含有導電性ゲートを含むアセンブリ
US11695050B2 (en) 2019-04-15 2023-07-04 Micron Technology, Inc. Assemblies which include ruthenium-containing conductive gates
KR102667652B1 (ko) * 2019-04-15 2024-05-22 마이크론 테크놀로지, 인크 루테늄-함유 전도성 게이트를 포함하는 조립체
US11996456B2 (en) 2019-04-15 2024-05-28 Lodestar Licensing Group Llc Assemblies which include ruthenium-containing conductive gates
JP2022533516A (ja) * 2019-05-09 2022-07-25 インテル・コーポレーション コンタクトの高さの差が大きいメモリ用途のための非導電性エッチングストップ構造
JP2022533461A (ja) * 2020-02-17 2022-07-22 長江存儲科技有限責任公司 3次元メモリデバイスおよびその製造方法
JP7439135B2 (ja) 2020-02-17 2024-02-27 長江存儲科技有限責任公司 3次元メモリデバイスおよびその製造方法
KR102668062B1 (ko) * 2020-02-17 2024-05-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 메모리 디바이스들 및 그 제조 방법들
US11882705B2 (en) 2020-06-05 2024-01-23 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device, operating method of the same and electronic system including the same

Also Published As

Publication number Publication date
US20170278859A1 (en) 2017-09-28
WO2017165027A1 (en) 2017-09-28
EP3910673A1 (en) 2021-11-17
US9812463B2 (en) 2017-11-07
JP6550541B2 (ja) 2019-07-24
EP3375016A1 (en) 2018-09-19
EP3375016B1 (en) 2022-01-12

Similar Documents

Publication Publication Date Title
JP6550541B2 (ja) 垂直方向に隔離された電荷蓄積領域を含む3次元メモリデバイスおよびその形成方法
US9659956B1 (en) Three-dimensional memory device containing source select gate electrodes with enhanced electrical isolation
US9991277B1 (en) Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9978768B2 (en) Method of making three-dimensional semiconductor memory device having laterally undulating memory films
CN109863597B (zh) 具有比字线更厚的选择栅极电极的三维存储器器件及其制造方法
US9515079B2 (en) Three dimensional memory device with blocking dielectric having enhanced protection against fluorine attack
US9601508B2 (en) Blocking oxide in memory opening integration scheme for three-dimensional memory structure
CN108012567B (zh) 用于存储器结构中的控制栅极电极的钴和钴-半导体合金的横向堆叠体
CN109791931B (zh) 在存储叠层结构之间具有非均匀间距的三维存储器器件及其制造方法
US9893081B1 (en) Ridged word lines for increasing control gate lengths in a three-dimensional memory device
US9793139B2 (en) Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9754956B2 (en) Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9842851B2 (en) Three-dimensional memory devices having a shaped epitaxial channel portion
EP3262685B1 (en) A metal-semiconductor alloy region for enhancing on current in a three-dimensional memory structure
US9443861B1 (en) Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9754958B2 (en) Three-dimensional memory devices having a shaped epitaxial channel portion and method of making thereof
US9659955B1 (en) Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9698152B2 (en) Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US10468413B2 (en) Method for forming hydrogen-passivated semiconductor channels in a three-dimensional memory device
US9960180B1 (en) Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US9780182B2 (en) Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9496419B2 (en) Ruthenium nucleation layer for control gate electrodes in a memory structure
US9711530B1 (en) Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9589839B1 (en) Method of reducing control gate electrode curvature in three-dimensional memory devices
US11024645B2 (en) Three-dimensional memory device containing a silicon nitride ring in an opening in a memory film and method of making the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180926

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180926

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20180926

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20190219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190701

R150 Certificate of patent or registration of utility model

Ref document number: 6550541

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees