JP2022519752A - 3次元メモリデバイス - Google Patents

3次元メモリデバイス Download PDF

Info

Publication number
JP2022519752A
JP2022519752A JP2021546395A JP2021546395A JP2022519752A JP 2022519752 A JP2022519752 A JP 2022519752A JP 2021546395 A JP2021546395 A JP 2021546395A JP 2021546395 A JP2021546395 A JP 2021546395A JP 2022519752 A JP2022519752 A JP 2022519752A
Authority
JP
Japan
Prior art keywords
layer
layers
forming
memory
composite
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021546395A
Other languages
English (en)
Inventor
ホン シャオ・リ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from CN201910248617.8A external-priority patent/CN110071114B/zh
Priority claimed from CN201910248966.XA external-priority patent/CN110047840B/zh
Priority claimed from CN201910248585.1A external-priority patent/CN110047839B/zh
Priority claimed from CN201910248601.7A external-priority patent/CN110137176B/zh
Priority claimed from CN201910248967.4A external-priority patent/CN110061008B/zh
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Priority claimed from PCT/CN2019/093454 external-priority patent/WO2020199389A1/en
Publication of JP2022519752A publication Critical patent/JP2022519752A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

Figure 2022519752000001
三次元(3D)メモリデバイスを形成するための方法の実施形態は、以下の工程を含む。まず、基板の上に交互に配置された複数の第1の層と複数の第2の層とのスタック構造に初期チャネルホールを形成する。初期チャネルホールの側壁において、複数の第1の層の各々の側面と複数の第2の層の各々の側面との間にオフセットが形成され、チャネルホールが形成される。半導体チャネルは、チャネルホールをチャネル形成構造体で充填することによって形成され、半導体チャネルは、それぞれの第2の層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを含むメモリ層を有する。

Description

[関連出願の相互参照]
本出願は、それぞれ2019年3月29日に出願された中国特許出願第201910248967.4号、第201910248617.8号、第201910248601.7号、第201910248966.X号、および第201910248585.1号の優先権を主張し、これらのすべては、参照によりその全体が本明細書に組み込まれる。
本開示の実施形態は、三次元(3D)メモリデバイスおよびその製造方法に関する。
平面メモリセルは、プロセス技術、回路設計、プログラミングアルゴリズム、および製造プロセスを改善することによって、より小さいサイズに寸法調整される。しかしながら、メモリセルの特徴サイズが下限に近づくにつれて、平面プロセスおよび製造技術は困難になり、費用がかかるようになる。その結果、平面メモリセルのメモリ密度は上限に近づく。
3Dメモリアーキテクチャは、平面メモリセルにおける密度制限に対処することができる。3Dメモリアーキテクチャは、メモリアレイと、メモリアレイとの間の信号を制御するための周辺デバイスとを含む。
3Dメモリデバイスの実施形態および3Dメモリデバイスを製造するための製造方法が本明細書に開示される。
一例では、3Dメモリデバイスを形成するための方法は、以下の工程を含む。まず、基板の上に交互に配置された複数の第1の層と複数の第2の層とのスタック構造に初期チャネルホールを形成する。初期チャネルホールの側壁において、複数の第1の層の各々の側面と複数の第2の層の各々の側面との間にオフセットが形成され、チャネルホールが形成される。半導体チャネルは、チャネルホールをチャネル形成構造体で充填することによって形成され、半導体チャネルは、それぞれの第2の層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを含むメモリ層を有する。また、複数の第1の層を除去し、複数の第2の層から複数の導体層を形成する。隣接する導体層の間には、ゲート間誘電体層が形成されている。ゲート間誘電体層は、酸窒化ケイ素の少なくとも1つの副層と、エアギャップとを含む。
別の例では、3Dメモリデバイスを形成するための方法は、基板の上に交互に配置された複数の第1の層および複数の第2の層のスタック構造に初期チャネルホールを形成するステップと、初期チャネルホールの側壁上で複数の第1の層の各々の側面と複数の第2の層の各々の側面との間にオフセットを形成してチャネルホールを形成するステップと、チャネルホールをチャネル形成構造体で充填することによって半導体チャネルを形成するステップとを含む。半導体チャネルは、それぞれの第2の層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを含むメモリ層を有することができる。本方法はまた、複数の第1の層を除去するステップと、それぞれの第2の層の中間部分から複数の導体層をそれぞれ形成するステップと、第2の層の表面部分から複合層を形成するステップであって、複合層は酸窒化ケイ素の少なくとも1つの副層を含む、形成するステップと、隣接する導体層の間にエアギャップを形成するステップとを含むことができる。
さらに別の例では、3Dメモリデバイスは、ゲート間誘電体構造によって互いに絶縁された複数の導体層を含むスタック構造を含む。ゲート間誘電体構造は、酸窒化ケイ素の副層と、基板の上面に垂直な方向に沿って隣接する導体層間のエアギャップとを少なくとも含むことができる。3Dメモリデバイスはまた、スタック構造の上面から基板まで延在する半導体チャネルを含む。半導体チャネルは、それぞれの導体層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを有するメモリ層を含むことができる。複数の第1のメモリ部分および複数の第2のメモリ部分は、基板の上面に垂直な垂直方向に沿って千鳥状に配置されてもよく、ソース構造は、スタック構造の上面から基板まで延在してもよい。
本明細書に組み込まれ、本明細書の一部を形成する添付の図面は、本開示の実施形態を示し、説明と共に、本開示の原理を説明し、当業者が本開示を作成および使用することを可能にするのにさらに役立つ。
本開示のいくつかの実施形態による、3Dメモリデバイスの一部の断面図を示す。 本開示のいくつかの実施形態による、3Dメモリデバイスの一部の断面図を示す。 本開示のいくつかの実施形態による、3Dメモリデバイスの一部の断面図を示す。 本開示のいくつかの実施形態による、3Dメモリデバイスの一部の断面図を示す。 本開示のいくつかの実施形態による、3Dメモリデバイスの一部の断面図を示す。 本開示のいくつかの実施形態による、3Dメモリデバイスの一部の断面図を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な 段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、別の典型的な製造プロセスの様々な段階における3Dメモリデバイスの構造を示す。 本開示のいくつかの実施形態による、ブロッキング層、メモリ層、およびトンネル層の断面図を示す。 本開示のいくつかの実施形態による、ブロッキング層、メモリ層、およびトンネル層の断面図を示す。 本開示のいくつかの実施形態による、ブロッキング層、メモリ層、およびトンネル層の断面図を示す。 本開示のいくつかの実施形態による、ゲート間誘電体層の断面図を示す。 本開示のいくつかの実施形態による、ゲート間誘電体層の断面図を示す。 本開示のいくつかの実施形態による、スタック構造内に半導体チャネルを形成するための典型的な方法のフローチャートを示す。 本開示のいくつかの実施形態による、図9Aの方法に従って3Dメモリデバイスを形成するための典型的な方法のフローチャートを示す。 本開示のいくつかの実施形態による、図9Aの方法に従って3Dメモリデバイスを形成するための典型的な方法のフローチャートを示す。 本開示のいくつかの実施形態による、図9Aの方法に従って3Dメモリデバイスを形成するための典型的な方法のフローチャートを示す。 本開示のいくつかの実施形態による、別の3Dメモリデバイスを形成するための典型的な方法のフローチャートを示す。
本開示の実施形態について、添付の図面を参照しながら説明する。
特定の構成および配置について説明するが、これは例示のみを目的として行われることを理解されたい。当業者は、本開示の精神および範囲から逸脱することなく、他の構成および配置を使用できることを認識するであろう。本開示が様々な他の用途にも使用できることは、当業者には明らかであろう。
本明細書における「一実施形態(one embodiment)」、「実施形態(an embodiment)」、「例示的な実施形態(an example embodiment)」、「いくつかの実施形態(some embodiments)」などへの言及は、記載された実施形態が特定の特徴、構造、又は特性を含み得ることを示すが、すべての実施形態が必ずしも特定の特徴、構造、又は特性を含むとは限らないことに留意されたい。さらに、そのような語句は、必ずしも同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、又は特性が実施形態に関連して記載されている場合、明示的に記載されているか否かにかかわらず、他の実施形態に関連してそのような特徴、構造、又は特性を達成することは、当業者の知識の範囲内である。
一般に、用語は、文脈における使用から少なくとも部分的に理解され得る。例えば、本明細書で使用される「1つ又は複数」という用語は、文脈に少なくとも部分的に依存して、任意の特徴、構造、又は特性を単数の意味で説明するために使用されてもよく、又は特徴、構造、又は特性の組み合わせを複数の意味で説明するために使用されてもよい。同様に、「1つの(a)」、「1つの(an)」、又は「その(the)」などの用語は、文脈に少なくとも部分的に依存して、単数形の用法を伝えるか、又は複数形の用法を伝えると理解されてもよい。さらに、「に基づく」という用語は、必ずしも排他的な要因のセットを伝達することを意図していないと理解されてもよく、代わりに、文脈に少なくとも部分的に依存して、必ずしも明示的に説明されていない追加の要因の存在を可能にしてもよい。
本開示における「上に(on)」、「より上に(above)」、および「上方に(over)」の意味は、「上に(on)」が何かの「直接上に(directly on)」を意味するだけでなく、中間の特徴を有する何か又はその間の層の「上に(on)」の意味も含み、「より上に(above)」又は「上方に(over)」は何か「より上に(above)」又は「の上方に(over)」の意味を意味するだけでなく、間に中間の特徴を有さない何か又はその間の層「より上に(above)」又は「の上方に(over)」(すなわち、何かの上に直接)であるという意味も含むことができるように、最も広く解釈されるべきであることは容易に理解されるべきである。
さらに、「真下(beneath)」、「より下に(below)」、「下方(lower)」、「より上に(above)」、「上方(upper)」などの空間的に相対的な用語は、本明細書では、図に示すように、1つの要素又は特徴と別の要素又は特徴との関係を説明するための説明を容易にするために使用され得る。空間的に相対的な用語は、図に示す向きに加えて、使用中又は動作中のデバイスの異なる向きを包含することを意図している。装置は、他の方向に向けられてもよく(90度又は他の向きに回転されてもよく)、本明細書で使用される空間的に相対的な記述子は、それに応じて同様に解釈されてもよい。
本明細書で使用される場合、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体をパターニングすることができる。基板の上に加えられる材料は、パターニングされてもよく、又はパターニングされないままであってもよい。さらに、基板は、シリコン、ゲルマニウム、ヒ化ガリウム、リン化インジウムなどの広範囲の半導体材料を含むことができる。代替で、基板は、ガラス、プラスチック、又はサファイアウェハなどの非導電性材料から作製することができる。
本明細書で使用される場合、「層」という用語は、厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造体の全体にわたって延在することができ、又は下にあるもしくは上にある構造体の範囲よりも小さい範囲を有することができる。さらに、層は、連続構造の厚さよりも小さい厚さを有する均一又は不均一な連続構造の領域であり得る。例えば、層は、連続構造の上面と底面との間、又は上面と底面との間の任意の対の水平面の間に位置することができる。層は、横方向、垂直方向、及び/又はテーパ面に沿って延在することができる。基板は、層とすることができ、その中に1つ又は複数の層を含むことができ、及び/又はその上、それより上、及び/又はその下に1つ又は複数の層を有することができる。層は複数の層を含むことができる。例えば、相互接続層は、1つ又は複数の導体および接触層(相互接続線及び/又はビアコンタクトが形成される)ならびに1つ又は複数の誘電体層を含むことができる。
本明細書で使用される場合、「名目/名目上」という用語は、製品又はプロセスの設計段階中に設定される、構成要素又はプロセス動作の特性又はパラメータの所望の又は目標の値を、所望の値より上及び/又は下の値の範囲と共に指す。値の範囲は、製造プロセスにおけるわずかな変動又は公差に起因し得る。本明細書で使用される場合、「約」という用語は、対象の半導体デバイスに関連する特定の技術ノードに基づいて変化し得る所与の量の値を示す。特定の技術ノードに基づいて、用語「約」は、例えば、値の10~30%(例えば、値の±10%、±20%、又は±30%)の範囲内で変化する所与の量の値を示すことができる。
本明細書で使用される場合、「3Dメモリデバイス」という用語は、メモリストリングが基板に対して垂直方向に延在するように、メモリセルトランジスタの垂直に配向されたストリング(NANDメモリストリングなどの「メモリストリング」と本明細書では呼ばれる)を横方向に配向された基板上に有する半導体デバイスを指す。本明細書で使用される場合、「垂直/垂直に」という用語は、基板の側面に対して名目上垂直であることを意味する。
本明細書で使用される場合、「ステアケース」、「ステップ」、および「レベル」という用語は、互換的に使用することができる。本明細書で使用される場合、ステアケース構造は、各水平面が水平面の第1の縁部から上方に延在する第1の垂直面に隣接し、水平面の第2の縁部から下方に延在する第2の垂直面に隣接するように、少なくとも2つの水平面および少なくとも2つの垂直面を含む一組の面を指す。「ステアケース」は、一組の隣接する表面の高さの垂直シフトを指す。
本明細書で使用される場合、x軸およびy軸(x-z平面に垂直)は水平に延び、水平面を形成する。水平面は、基板の上面に実質的に平行である。本明細書で使用される場合、z軸は、垂直に、すなわち水平面に垂直な方向に沿って延在する。「x軸」および「y軸」という用語は、「水平方向」と互換的に使用することができ、「x-y平面」という用語は、「水平面」と互換的に使用することができ、「z軸」という用語は、「垂直方向」と互換的に使用することができる。
3Dメモリデバイスがより高いメモリ容量のために縮小するにつれて、3Dメモリデバイスのゲート電極として機能するより多くの導体層が、指定された空間内で基板の上に積層される。垂直方向(すなわち、基板の上面に垂直な方向)に沿った隣接する導体層間の間隔が減少し、隣接する導体層間のより薄いゲート間誘電体層が得られる。従来、ゲート間誘電体層は、酸化ケイ素(SiO、例えばSiO)を主成分としており、その絶縁性は、隣接する導体層間の膜厚や膜質に大きく影響される。したがって、スケーリングのために、酸化ケイ素で作られたより薄いゲート間誘電体層は、ゲート間漏れ又は破壊さえも受けやすい可能性がある。加えて、隣接する導体層間の間隔の減少もまた、電荷損失の増加を引き起こす可能性がある。例えば、隣接するメモリセル間の距離が小さいため、メモリセルにトラップされた電荷がメモリセルから逃げてメモリ層(例えば、その延伸方向に沿って、)に沿って移動しやすくなる。その結果、メモリ層におけるデータ保持が損なわれる可能性があり、メモリセルに対する動作(例えば、読み出し、書き込み、及び/又は保持)の精度が低下する可能性がある。
本開示による様々な実施形態は、より薄いゲート間誘電体層に関連する上述の問題を解決する3Dメモリデバイスの構造および製造方法を提供する。本開示の実施形態は、隣接する導体層の間に少なくとも1つの複合層を有するゲート間誘電体層を提供する。複合層は、酸窒化ケイ素(SiO、例えばSiON)の少なくとも1つの副層を含む。酸窒化ケイ素は、高k誘電体材料として、隣接する導体層間の電気絶縁性をより良好にすることができる。ゲート間誘電体層は、隣接する導体層間の厚さがより小さくても、漏れおよび結合に対する影響の受け易さを低減することができる。いくつかの実施形態では、ゲート間誘電体層は、隣接する導体層の間に少なくともエアギャップを含む。いくつかの実施形態では、ゲート間誘電体層は、各々が隣接する導体層のうちの異なる1つの上にある一対の複合層と、2つの複合層の間のエアギャップとを含む。いくつかの実施形態では、ゲート間誘電体層は、間にエアギャップなしに隣接する導体層の間の空間を充填する複合層を含む。複合層は、少なくとも酸窒化ケイ素の副層を含むことができる。いくつかの実施形態では、複合層は、それぞれが酸化ケイ素及び/又は窒化ケイ素の副層によって挟まれた酸窒化ケイ素の少なくとも1つの副層を有する複数の副層を含む。例えば、複合層は、複数の交互に配置された酸窒化ケイ素および酸化ケイ素の副層を含むことができる。
また、3Dメモリデバイスにおける電荷損失を低減するために、いくつかの実施形態では、半導体チャネル内のメモリ層は、電荷のために隣接するメモリセル(例えば、導体層)間に障壁を形成するための「屈曲」構造又は「遮断」構造を有することができる。「屈曲」構造では、メモリ層は、複数の第1のメモリ部分および複数の第2のメモリ部分を有する。各第1のメモリ部分は、それぞれの導体層を部分的に囲み、各第2のメモリ部分は、隣接する第1のメモリ部分を接続する。第1のメモリ部分は、垂直部分(例えば、垂直に延在する)と、それぞれの導体層の底部を部分的に囲むように一緒に接続された一対の側方部分(例えば、横方向に延在する)とを含む。したがって、第1のメモリ部分および第2のメモリ部分は、垂直方向に沿って千鳥状に延在し、垂直方向に沿ってメモリセル(例えば、第1のメモリ部分)にトラップされた電荷の障壁を形成することができる。このようなメモリ層の構造により、垂直方向に沿って電荷損失を低減することができる。「屈曲」構造とは異なり、「遮断」構造では、隣接する導体層間の第2のメモリ部分が除去され、第1のメモリ部分が互いに切り離される。このようなメモリ層の構造により、隣接するメモリセル間の電荷の障壁を高めることができる。
図1A~1Eは、本開示による、各々がゲート間誘電体層を有する3Dメモリデバイスの断面図を示す。具体的には、図1Aは、「遮断」構造を有するメモリ層と、隣接する導体層の間にエアギャップを有するゲート間誘電体層とを有するメモリデバイス101を示す。図1Bは、「遮断」構造を有するメモリ層と、隣接する導体層の間にエアギャップのないゲート間誘電体層とを有するメモリデバイス102を示す。図1Cは、「屈曲」構造を有するメモリ層と、隣接する導体層の間にエアギャップを有するゲート間誘電体層とを有するメモリデバイス103を示す。図1Dは、「屈曲」構造を有するメモリ層と、隣接する導体層の間にエアギャップのないゲート間誘電体層とを有するメモリデバイス104を示す。図1Eは、「屈曲」構造又は「遮断」構造のないメモリ層と、隣接する導体層の間にエアギャップを有するゲート間誘電体層とを有するメモリデバイス105を示す。図1Fは、「屈曲」構造を有するメモリ層と、異なる材料の誘電体層を挟む一対の複合層を有するゲート間誘電体層とを有するメモリデバイス106を示す。説明を容易にするために、図1A~1Fの同一又は類似の部品は、同じ参照番号を使用して示されている。
本開示の実施形態は、導体層間の漏れおよび結合を低減し、トラップされた電荷が望ましくない方向に移動するのを防止するように構成された異なるタイプのメモリデバイスを提供する。例として、「遮断」構造を有する半導体チャネルと、少なくとも高k誘電体材料の副層(例えば、酸窒化ケイ素)およびエアギャップを有するゲート間誘電体層とを有するメモリデバイスは、メモリデバイス101によって具現化され得る。「屈曲」構造を有する半導体チャネルと、少なくとも高k誘電体材料の副層(例えば、酸窒化ケイ素)を有するゲート間誘電体層とを有するメモリデバイスメモリデバイスは、メモリデバイス103、104、および106によって具現化され得る。「ゲートファースト」製造プロセスによって形成され、少なくとも高k誘電体材料の副層(例えば、酸窒化ケイ素)およびエアギャップを有するゲート間誘電体層を有するメモリデバイスは、メモリデバイス101、103、105によって具現化され得る。「ゲートファースト」製造プロセスによって形成され、「屈曲」構造を有する半導体チャネルと、少なくとも高k誘電体材料の副層(例えば、酸窒化ケイ素)およびエアギャップを有するゲート間誘電体層とを有する、メモリデバイスは、メモリデバイス103によって具現化され得る。「遮断」構造を有する半導体チャネルと、少なくとも高k誘電体材料の副層(例えば、酸窒化ケイ素)を有するゲート間誘電体層とを有するメモリデバイスは、メモリデバイス101および102によって具現化され得る。メモリデバイスの構造および製造プロセスを以下に詳細に説明する。
図1Aに示すように、メモリデバイス101は、基板10と、基板10の上に積層する複数の導体層18と、各々が隣接する導体層18の間にあり、隣接する導体層18を絶縁する複数のゲート間誘電体層17とを含む。導体層18、基板10、およびゲート間誘電体層17は、スタック構造を形成することができる。メモリデバイス101は、各々が垂直にスタック構造を貫通して(例えば、基板10の上面に垂直な方向又はy方向に沿って)基板10に入る複数の半導体チャネル14を含むことができる。メモリデバイス101はまた、スタック構造を貫通して基板10に入る複数のソース構造を含むことができる。各ソース構造は、基板10内のドープ領域16と、スタック構造を貫通する絶縁構造体120と、絶縁構造体120内に延在し、ドープ領域16に接触するソース接点121とを含むことができる。ソース接点121は、ドープ領域16および基板10を介して半導体チャネル14に電気的に接続されてもよい。
基板10は、シリコン(例えば、単結晶シリコン)、シリコンゲルマニウム(SiGe)、ヒ化ガリウム(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレータ(SOI)、及び/又は任意の他の適切な材料を含むことができる。いくつかの実施形態では、基板10はシリコンを含む。
導体層18は、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、多結晶シリコン(ポリシリコン)、ドープシリコン、ケイ化物、又はそれらの任意の組み合わせを含むがこれらに限定されない導電性材料を含むことができる。
ゲート間誘電体層17は、1つ又は複数の複合層と、隣接する導体層18の間に少なくともエアギャップとを含むことができる。本開示では、スタック構造(例えば、スタック構造の上から下へのすべての導体層18)内の複数の導体層18を絶縁するための複数のゲート間誘電体層17は、ゲート間誘電体構造と呼ばれてもよい。いくつかの実施形態では、ゲート間誘電体層17は、一対の複合層17-1および17-2と、複合層17-1と17-2との間のエアギャップ173とを含む。いくつかの実施形態では、複合層17-1および17-2は、隣接する導体層18の間の空間に形成されてもよく、隣接する導体層18の対向する表面上にあってもよい。いくつかの実施形態では、複合層、例えば17-1又は17-2の厚さは、約5nm未満、例えば5nm未満(例えば、0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm、任意のこれらの値による下端によって境界付けられる任意の範囲、又はこれらの値のいずれか2つによって画定される任意の範囲)であり得る。いくつかの実施形態では、エアギャップ173の厚さは、複合層17-1および17-2の厚さ、ならびに隣接する導体層18間の間隔次第であってよい。
ゲート間誘電体層17は、酸窒化ケイ素などの高k誘電体材料の少なくとも1つの副層を含むことができる。いくつかの実施形態では、導体層18の材料に応じて、高k誘電体材料はまた、酸窒化ケイ素以外の材料を含んでもよい。いくつかの実施形態では、各複合層、例えば17-1および17-2は、酸窒化ケイ素の副層を含むことができる。ゲート間誘電体層17はまた、他の材料の副層を含んでもよい。いくつかの実施形態では、各複合層、例えば17-1および17-2は、酸化ケイ素及び/又は窒化ケイ素の少なくとも副層を含むことができる。いくつかの実施形態では、各複合層、例えば17-1および17-2は、酸窒化ケイ素の少なくとも1つの副層、酸化ケイ素の少なくとも1つの副層、および窒化ケイ素の少なくとも1つの副層を有する複数の副層を含むことができる。いくつかの実施形態では、各複合層、例えば17-1および17-2は、O/ON/O/ON/Oとして配置された副層のスタックを有することができ、「O」は酸化ケイ素を表し、「ON」は酸窒化ケイ素を表す。いくつかの実施形態では、各複合層、例えば17-1および17-2は、O/ON/O/N/O/ON/Oとして配置された副層のスタックを有することができる。いくつかの実施形態では、垂直方向に沿って、導体層18および導体層18上に形成された複合層(例えば、導体層18の上面および下面上)は、垂直部分132-1の端部間に画定された空間内に配置される。いくつかの実施形態では、導体層18およびそれぞれの複合層の厚さの合計は、垂直部分132-1の端部間の距離よりも小さい。いくつかの実施形態では、それぞれの垂直部分から外方を向く側方部分132-2の端部は、それぞれのゲート間誘電体層17によって露出される。例えば、端部は、それぞれのゲート間誘電体層17のエアギャップ173によって露出されてもよい。いくつかの実施形態では、17-1又は17-2と同様又は同じ複合層を基板10の上面に形成することができる。
図8Aは、ゲート間誘電体層17の典型的な構造を示す。図8Aに示すように、x81は酸化ケイ素の副層を表し、x82は酸窒化ケイ素の副層を表し、x83はエアギャップを表す。隣接する導体層18の一方の上の副層x81、x82、x81は複合層x8-1を形成し、隣接する導体層18の他方の上の副層x81、x82、x81は別の複合層x8-2を形成してもよい。複合層x8-1、x8-2、およびエアギャップx83は、ゲート間誘電体層17を形成することができる。複合層内の副層の数は、本開示の実施形態によって限定されるべきではないことに留意されたい。いくつかの実施形態では、複合層x81およびx82の各々の厚さは、約5nm未満、例えば5nm未満(例えば、0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm、任意のこれらの値による下端によって境界付けられる任意の範囲、又はこれらの値のいずれか2つによって画定される任意の範囲)である。
半導体チャネル14は、側壁から半導体チャネル14の中心に向かって半径方向に沿って配置された、ブロッキング層131、メモリ層132、トンネル層133、半導体層134、および誘電体コア19を含むことができる。ブロッキング層131は、それぞれがそれぞれの導体層18の底部の下にあり、互いに切り離された、複数のブロッキング部分を含むことができる。メモリ層132は、それぞれがそれぞれの導体層18の底部の下にあり、それぞれの導体層18を部分的に囲む、複数のメモリ部分を含むことができる。各メモリ部分は、互いに切り離されてもよい。メモリ部分は、垂直部分132-1(例えば、垂直方向又はy方向に沿って延在する)と、垂直部分132-1に接続された少なくとも1つの側方部分132-2(例えば、横方向又はx方向に沿って延在する)とを含むことができる。いくつかの実施形態では、メモリ部分は、垂直部分132-1および一対の側方部分132-2(例えば、垂直部分132-1の異なる端部にそれぞれ接続される)を含む。側方部分132-2の一方の端部は、それぞれの垂直部分132-1に接続されてもよく、側方部分132-2の他方の端部は、それぞれの垂直部分132-1から外方を向いてもよい(例えば、エアギャップ173によって露出される)。メモリ部分は、それぞれのブロック部分の下にあり、部分的に囲むことができる。エアギャップ173によって露出されたトンネル層133は、それぞれのメモリ部分の下にあり、部分的に囲むことができる。
ブロッキング層131は、電荷が導体層18に漏れるのを低減又は防止することができる。ブロッキング層131は、単層構造又は多層構造を含むことができる。例えば、ブロッキング層131は、第1のブロッキング層および第2のブロッキング層を含むことができる。第1のブロッキング層は、チャネルホールの側壁の上に形成することができ、第2のブロッキング層は、第1のブロッキング層の上に形成することができる。第1のブロッキング層は、誘電材料(例えば、誘電性金属酸化物)を含むことができる。例えば、第1のブロッキング層は、十分に高い誘電率(例えば、7.9より大きい)を有する誘電体金属酸化物を含むことができる。第1のブロッキング層の例として、AlO、酸化ハフニウム(HfO)、酸化ランタン(LaO)、酸化イットリウム(Y)、酸化タンタル(Ta)、これらのケイ酸塩、これらの窒素ドープ化合物及び/又はこれらの合金が挙げられる。第2のブロッキング層は、第1のブロッキング層とは異なる誘電材料を含むことができる。例えば、第2のブロッキング層は、酸化ケイ素、酸窒化ケイ素、及び/又は窒化ケイ素を含むことができる。図7Aは、ブロッキング層131と同一又は類似の典型的なブロッキング層x31を示す。図7Aに示すように、ブロッキング層x31は、第1のブロッキング層x31aと、第2のブロッキング層x31bとを含む。第1のブロッキング層x31aは、AlOなどの高k誘電体層を含んでもよい。第2ブロッキング層x31bは、横方向に積層する複数の誘電体層を含むことができる。例えば、第2のブロッキング層x31bは、一対の第1の誘電体層x31cと、第2の誘電体層x31dとを含むことができ、第2の誘電体層x31dは、第1の誘電体層x31cによって挟まれている。いくつかの実施形態では、第1の誘電体層x31cは酸化ケイ素を含み、第2の誘電体層x31dは酸窒化ケイ素を含む。
メモリ層132は、電荷トラップ材料を含むことができ、ブロッキング層131の上に形成することができる。メモリ層132は、単層構造又は多層構造を含むことができる。例えば、メモリ層132は、タングステン、モリブデン、タンタル、チタン、白金、ルテニウム、それらの合金、それらのナノ粒子、それらのケイ化物、及び/又は多結晶もしくはアモルファス半導体材料(例えば、ポリシリコンおよびアモルファスシリコン)などの導電性材料ならびに/あるいは半導体を含むことができる。メモリ層132はまた、SiN及び/又はSiONなどの1つ又は複数の絶縁材料を含むことができる。図7Bは、メモリ層132と同一又は類似の典型的なメモリ層x32を示す。図7Bに示すように、メモリ層x32は、交互に配置された複数の第1のメモリ副層x32aおよび第2のメモリ副層x32bを含むことができる。いくつかの実施形態では、第1のメモリ副層x32aは窒化ケイ素を含み、第2のメモリ副層x32bは酸窒化ケイ素を含む。
トンネル層133は、適切なバイアス下でトンネリングを発生させることができる誘電材料を含むことができる。トンネル層133は、メモリ層132の上に形成することができ、単層構造又は多層構造を含むことができる。トンネル層133は、SiO、SiN、SiON、誘電性金属酸化物、誘電性金属酸窒化物、誘電性金属ケイ酸塩、及び/又はそれらの合金を含むことができる。図7Cは、トンネル層133と同一又は類似の典型的なトンネル層x33を示す。図7Cに示すように、トンネル層x33は、複数の第1のトンネル副層x33aおよび第2のトンネル副層x33bを含むことができる。いくつかの実施形態では、第2のトンネル副層x33bは、一対の第1のトンネル副層x33aによって挟まれてもよい。いくつかの実施形態では、第1のトンネル副層x33aは酸化ケイ素を含み、第2のトンネル副層x33bは酸窒化ケイ素の複数の層を含む。
半導体層134は、電荷の輸送を容易にすることができ、トンネル層133の上に形成することができる。半導体層134は、一元素半導体材料、III-V族化合物半導体材料、II-VI族化合物半導体材料、及び/又は有機半導体材料などの一又は複数の半導体材料を含むことができる。いくつかの実施形態では、半導体層134はポリシリコン層を含む。
誘電体コア19は、適切な誘電材料を含むことができ、半導体層134によって囲まれた空間を充填することができる。いくつかの実施形態では、誘電体コア19は酸化ケイ素(例えば、十分に高純度の酸化ケイ素)を含む。
ドープ領域16は、ソース接点121に接触して基板10内に形成することができる。ソース接点121は、絶縁構造体120によって導体層18から絶縁されてもよい。ソース接点121は、ソース電極として使用することができる任意の適切な導電性材料を含むことができ、ドープ領域16は、基板10内に形成された適切なドープ(例えば、P型又はN型)半導体領域を含むことができ、基板10の極性とは反対である。いくつかの実施形態では、ソース接点121は、ドープポリシリコン、銅、アルミニウム、コバルト、ドープシリコン、ケイ化物、およびタングステンのうちの1つ以上を含む。いくつかの実施形態では、ドープ領域16は、ドープシリコンを含む。いくつかの実施形態では、絶縁構造体120は酸化ケイ素を含む。
図1Bは、いくつかの実施形態による、メモリデバイス102の断面図を示す。メモリデバイス101とは異なり、ゲート間誘電体層17は、隣接する導体層18間にエアギャップを有さず、隣接する導体層18間の空間を複合層で充填する。いくつかの実施形態では、絶縁構造体120は、ソース接点121を導体層18およびゲート間誘電体層17から絶縁する。いくつかの実施形態では、側方部分132-2の端部、ブロッキング層131の露出部分、およびトンネル層133の露出部分は、ゲート間誘電体層17によって覆われる。いくつかの実施形態では、複合層は、基板10と基板10に最も近い導体層18との間の空間を充填する。図8Bは、複合層の典型的な構造を示す。図8Bに示すように、複合層は複数の副層を含むことができ、副層の少なくとも1つは酸窒化ケイ素を含む。いくつかの実施形態では、副層の少なくとも1つは酸窒化ケイ素を含み、副層の少なくとも1つは酸化ケイ素を含む。いくつかの実施形態では、副層の少なくとも1つは酸窒化ケイ素を含み、副層の少なくとも1つは酸化ケイ素を含み、副層の少なくとも1つは窒化ケイ素を含む。いくつかの実施形態では、x81は酸化ケイ素を表し、x82は酸窒化ケイ素を表し、複合層は、複数の交互に配置された酸窒化ケイ素および酸化ケイ素の副層を含む。いくつかの実施形態では、各材料の副層の数および各副層の厚さは、例えば、複合層(例えば、隣接する導体層18の間の間隔)の総厚及び/又は製造プロセスに関連付けられてもよく、本開示の実施形態によって限定されるべきではない。
図1Cは、いくつかの実施形態による、メモリデバイス103の断面図を示す。メモリデバイス101と異なり、ブロッキング層131およびメモリ層132は、水平方向および垂直方向に沿って一貫して延在する。メモリ層132は、それぞれの導体層18の底部およびそれぞれの導体層18上の複合層の下にあり、それらを部分的に囲む第1のメモリ部分132aと、隣接する第1のメモリ部分132aに接続された第2のメモリ部分132bとを含むことができる。図1Cに示すように、ブロッキング層131は、メモリ層132の上にあってもよく、したがって、それぞれの導体層18の底部およびそれぞれの導体層18上の複合層の下にあり、それらを部分的に囲むことができる。ブロッキング層131の側方部分は、横方向に複合層と接触してもよい。第1のメモリ部分132aは、垂直部分132a-1および少なくとも1つの側方部分132a-2を含むことができる。いくつかの実施形態では、第1の部分は、垂直部分132a-1および一対の側方部分132a-2を含むことができる。いくつかの実施形態では、第2のメモリ部分132bは垂直に延びる。図1Cに示すように、メモリ層132の第2のメモリ部分132bおよび垂直部分132a-1は、垂直方向に沿って千鳥状に配置されてもよい。いくつかの実施形態では、複合層、例えば17-1又は17-2の厚さは、約5nm未満、例えば5nm未満(例えば、0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm、任意のこれらの値による下端によって境界付けられる任意の範囲、又はこれらの値のいずれか2つによって画定される任意の範囲)であり得る。ゲート間誘電体層17ならびに複合層17-1および17-2の詳細な説明は、メモリデバイス101内のゲート間誘電体層17ならびに複合層17-1および17-2の説明を参照することができ、ここでは繰り返さない。
図1Dは、いくつかの実施形態による、メモリデバイス104の断面図を示す。メモリデバイス103とは異なり、ゲート間誘電体層17は、隣接する導体層18間にエアギャップを有さず、隣接する導体層18間の空間を複合層で充填する。いくつかの実施形態では、複合層は、基板10と基板10に最も近い導体層18との間の空間を充填する。ゲート間誘電体層17と複合層の構造および材料の詳細な説明は、メモリデバイス102内のゲート間誘電体層17および複合層の説明を参照することができ、ここでは繰り返さない。
図1Eは、いくつかの実施形態による、メモリデバイス105の断面図を示す。メモリデバイス101および103とは異なり、メモリデバイス105は、ブロッキング層131、メモリ層132、トンネル層133、および半導体層134がそれぞれ垂直方向に沿って連続的に延在する半導体チャネル14を含む。いくつかの実施形態では、複合層、例えば17-1又は17-2の厚さは、約5nm未満、例えば5nm未満(例えば、0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm、任意のこれらの値による下端によって境界付けられる任意の範囲、又はこれらの値のいずれか2つによって画定される任意の範囲)であり得る。ゲート間誘電体層17の詳細な説明は、メモリデバイス101の説明を参照することができ、ここでは繰り返さない。
図1Fは、いくつかの実施形態による、メモリデバイス106の断面図を示す。メモリデバイス104とは異なり、メモリデバイス106は、一対の複合層17-1および17-2によって挟まれた誘電体層170を含み、誘電体層170は、複合層17-1および17-2の材料とは異なる材料を含む。いくつかの実施形態では、誘電体層170は窒化ケイ素を含む。任意選択的に、チタン及び/又は酸化チタンを含む接着層124が、導体層18とゲート間誘電体層17との間に形成される。いくつかの実施形態では、複合層、例えば17-1又は17-2の厚さは、約5nm未満、例えば5nm未満(例えば、0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm、任意のこれらの値による下端によって境界付けられる任意の範囲、又はこれらの値のいずれか2つによって画定される任意の範囲)であり得る。複合層17-1および17-2の構造および材料の詳細な説明は、メモリデバイス101の複合層17-1および17-2の説明を参照することができ、ここでは繰り返さない。
図2A~図2Gは、いくつかの実施形態による、「屈曲」構造を有する半導体チャネルを有するスタック構造を形成するための方法を示す。図2Gに示す構造200は、メモリデバイス101~104を形成するためのベース構造として使用することができる。図9Aは、図2A~図2Gに示す製造プロセス900のフローチャートを示す。
図9Aを参照すると、製造プロセスの開始時に、基板の上に交互に配置された複数の第1の層および第2の層を有するスタック構造に初期チャネルホールが形成される(工程902)。図2Aおよび図2Bは、対応する構造を示す。
図2Aに示されるように、交互に配置された複数の第1の層211および第2の層212を有するスタック構造21が基板20の上に形成される。基板20の材料は、基板10の説明を参照することができ、ここでは繰り返さない。いくつかの実施形態では、基板20はシリコン(N型シリコン)を含む。
スタック構造21は、3Dメモリデバイスを形成するための製造ベースを提供することができる。続いて、半導体チャネルおよび関連する構造/部品を含むメモリストリング(例えば、NANDメモリストリング)をスタック構造21内に形成することができる。いくつかの実施形態では、スタック構造21は、基板20の上に垂直に積層された複数の第1の層211/第2の層212の対を含み、階段構造を形成する。各第1の層211/第2の層212の対は、1つの第1の層211および1/2の層212を含むことができ、階段/レベルを形成することができる。すなわち、スタック構造21は、垂直方向に沿って積層された交互配置された第1の層211および第2の層212を含むことができる。スタック構造21(例えば、32、64、96、又は128)内の第1の層211/第2の層212の対の数は、3Dメモリデバイス内のメモリセルの数を設定することができる。
第1の層211は、それぞれ同じ厚さを有してもよいし、異なる厚さを有してもよい。同様に、第2の層212は各々、同じ厚さを有してもよく、又は異なる厚さを有してもよい。第2の層212は、第1の層211の材料とは異なる任意の適切な材料を含むことができ、それにより、エッチャント(例えば、第1の層211を除去するために後続の製造プロセスで使用される。)は、第2の層212よりも第1の層211でエッチング速度を高くすることができる。すなわち、エッチャントは、第1の層211を第2の層212より選択的にエッチングすることができる。いくつかの実施形態では、第1の層211は犠牲材料を含むことができ、第2の層212は導体材料を含むことができる。いくつかの実施形態では、第1の層211は犠牲材料を含むことができ、第2の層212は別の犠牲層を含むことができる。第1の層211および第2の層212の材料の具体的な選択は、製造プロセス(例えば、ゲートファースト製造プロセス又はゲートラスト製造プロセス)によって決定されるべきであり、以下に詳細に説明される。
スタック構造21は、例えば、複数の第1の材料層/第2の材料層の対の誘電体スタックを垂直および横方向に繰り返しエッチングすることによって形成することができる。第1の材料層/第2の材料層の対のエッチングは、誘電体スタックの上のエッチングマスク(例えば、フォトレジスト層)を繰り返しエッチング/トリミングして、エッチングされる第1の材料層/第2の材料層の対の部分を露出させることと、適切なエッチングプロセスを使用して露出部分をエッチング/除去することとを含むことができる。エッチングマスクおよび絶縁材料層/犠牲材料層の対のエッチングは、ウェットエッチング及び/又はドライエッチングなどの任意の適切なエッチングプロセスを使用して実行することができる。いくつかの実施形態では、エッチングは、ドライエッチング、例えば誘導結合プラズマエッチング(ICP)及び/又は反応性イオンエッチング(RIE)を含む。
スタック構造21に初期チャネルホール22を形成することができる。いくつかの実施形態では、初期チャネルホール22は、スタック構造21の上面から基板20まで延在する。いくつかの実施形態では、初期チャネルホール22の底部は基板20を露出させる。初期チャネルホール22は、任意の適切な製造プロセスによって形成することができる。例えば、パターン化フォトレジスト層をスタック構造21の上に形成することができる。パターン化フォトレジスト層は、初期チャネルホール22を形成するためにスタック構造21の一部を露出させることができる。適切なエッチングプロセスを実行して、基板20が露出するまでスタック構造21の一部を除去することができる。エッチングプロセスは、ドライエッチングプロセスを含むことができる。
再び図9Aを参照すると、初期チャネルホールが形成された後、初期チャネルホールの側壁上の各第1の層の一部を除去して、第2の層の側面と隣接する第1の層の側面との間にオフセットを形成することによって、チャネルホールが形成される(工程904)。図2Cは、対応する構造を示す。
図2Cに示すように、初期チャネルホール22の側壁上の各第1の層211の一部を除去して、チャネルホール222を形成することができる。説明を容易にするために、初期チャネルホール22又はチャネルホール222に面する第1の層211(又は第2の層212)の表面は、第1の層211(又は第2の層212)の側面と呼ばれる。いくつかの実施形態では、オフセット224を第1の層211の側面上に形成することができる。第1の層211の除去された部分(例えば、後方向又はx方向に沿って)の寸法又は厚さは、第2の層212の側面と第1の層211との間にオフセットを形成することを可能にする任意の適切な値とすることができる。いくつかの実施形態では、第2の層212の側面は、チャネルホール222の側壁に沿って突起を形成する。オフセット224を形成するために、任意の適切な選択的エッチングプロセス(例えば、リセスエッチング)を実行することができる。いくつかの実施形態では、選択的エッチングプロセスは、第2の層212よりも第1の層211に対して高いエッチング選択性を有し、第2の層212にほとんど又は全く損傷を引き起こさない。選択的エッチングプロセスとして、ウェットエッチング及び/又はドライエッチングを行うことができる。いくつかの実施形態では、選択的エッチングプロセスとしてRIEが実行される。
図9Aを参照すると、チャネルホールの形成後、チャネルホールを充填するようにチャネル形成構造体が形成され、半導体チャネルが形成される(工程906)。図2D~図2Fは、対応する構造を示す。
図2D~図2Fに示すように、半導体チャネル24は、チャネルホール222をチャネル形成構造体で充填することによって形成することができる。チャネル形成構造体は、チャネルホール222の側壁に沿って堆積されたブロッキング層231と、ブロッキング層の上のメモリ層232と、ブロッキング層の上のトンネル層233と、トンネル層の上の半導体層234と、チャネルホール222の残りを充填する誘電体コア29とを含むことができる。これらの層の各々は、図1Aに示すブロッキング層131、メモリ層132、トンネル層133、半導体層134、および誘電体コア19とそれぞれ同一又は同様であってもよい。したがって、これらの層の材料の詳細な説明は、ここでは繰り返さない。
図2Dに示すように、いくつかの実施形態では、ブロッキング材料層、メモリ材料層、およびトンネル材料層が、側壁からチャネルホール222の中心に向かって半径方向に沿ってチャネルホール222内に順次堆積される。ブロッキング材料層、メモリ材料層、およびトンネル材料層の材料は、ブロッキング層131、メモリ層132、およびトンネル層133の説明を参照することができ、ここでは繰り返さない。ブロッキング材料層は、化学気相蒸着(CVD)、原子層堆積(ALD)、パルスレーザ堆積(PLD)、低圧CVD(LPCVD)、及び/又は液体源ミスト化学堆積などの適切な堆積方法によって形成することができる。メモリ材料層は、CVD、ALD、および物理気相成長(PVD)などの任意の適切な堆積方法によって形成することができる。トンネル材料層は、CVD、ALD、及び/又はPVDなどの適切な堆積方法によって形成することができる。ドライエッチングなどのリセスエッチングプロセスを実行して、チャネルホール222の底部のブロッキング材料層、メモリ材料層、およびトンネル材料層の一部を除去して基板20を露出させることができる。その後、ブロッキング層231、メモリ層232、およびトンネル層233をそれに応じて形成することができる。
図2Eおよび図2Fに示すように、半導体層234がトンネル層233および基板20の上に堆積され、誘電体コア29が半導体層234の上に堆積されてチャネルホール222内の空間の残りを充填し、半導体チャネル24を形成する。半導体層234は、LPCVD、ALD、及び/又は有機金属化学気相蒸着(MOCVD)などの任意の適切な堆積方法によって形成することができる。いくつかの実施形態では、誘電体コア29は、SiO(例えば、十分に高純度のSiO)を含み、CVD、LPCVD、ALD、及び/又はPVDなどの任意の適切な堆積方法によって形成することができる。
再び図9Aを参照すると、半導体チャネルの形成後、スタック構造に第1の初期スリット開口が形成される(工程908)。図2Gは、対応する構造200を示す。
図2Gに示すように、第1の初期スリット開口25は、スタック構造を貫通し、基板20を露出させるように形成される。適切なエッチングプロセス、例えばドライエッチングプロセスを実行して、第1の初期スリット開口25を形成することができる。
図3A~図3Jは、いくつかの実施形態による、構造200に基づいてメモリデバイス103および104を形成するための「ゲートファースト」方法を示す。具体的には、図3A、図3C、図3E、図3G、および図3Iは、構造200に基づいてメモリデバイス103を形成するための製造プロセスを示し、図3B、図3D、図3F、図3H、および図3Jは、構造200に基づいてメモリデバイス104を形成するための製造プロセスを示す。「ゲートファースト」法では、第1の層211は犠牲材料を含み、第2の層212は続いて導体層18を形成するための導体材料を含む。いくつかの実施形態では、第2層212はポリシリコンを含む。図9Bは、メモリデバイス103および104を形成するため図3A~図3Jに示す製造プロセス920のフローチャートを示す。
図9Bに示すように、製造プロセスの開始時に、複数の第1の層が除去され(工程922)、隣接する導体層の間にゲート間誘電体層が形成される(工程924)。第1の初期スリット開口から第2の初期スリット開口が形成される。図3Aおよび図3Bはそれぞれ対応する構造を示す。いくつかの実施形態では、等方性エッチングプロセス(例えば、ウェットエッチング)を実行して、第1の層211を除去し、ブロッキング層231および基板20を露出させる。第1の層211の除去から複数の側方凹部を形成することができる。
図3Aに示すように、酸化反応及び/又は窒化反応を実行して、反応物と反応する第2の層212の一部から複合層を形成することができる。第2の層212の未反応部分は、メモリデバイス103のゲート電極として機能することができる導体層38を形成することができる。第2の層212の反応部分は、導体層38を覆う複合層37-1又は37-2(例えば、17-1又は17-2と同様又は同一の)を形成することができる。複合層は、第2の層212の上部/上面および第2の層212の底部/下面から形成されてもよい。エアギャップ373は、隣接する導体層38上の複合層37-1および37-2の間に形成されてもよい。いくつかの実施形態では、互いに対向し、隣接する導体層38およびその間のエアギャップ373上にある一対の複合層(例えば、37-1および37-2)は、図1Aおよび図1Cに示すゲート間誘電体層17と同様又は同一のゲート間誘電体層37を形成することができる。いくつかの実施形態では、複合層(例えば、37-1又は37-2)はまた、第2の層212(例えば、第1の初期スリット開口25の側壁)の側面に形成され、第1の初期スリット開口25から第2の初期スリット開口35Aを形成することができる。
いくつかの実施形態では、複数のゲート間誘電体層37は、第1の初期スリット開口部25および側方凹部を通して第2の層212を酸化及び/又は窒化することによって形成される。いくつかの実施形態では、複数のゲート間誘電体層37を形成するために、各ゲート間誘電体層37が酸窒化ケイ素の少なくとも1つの副層を含むように、酸素拡散濃度及び/又は窒素拡散濃度が制御される。いくつかの実施形態では、各複合層(例えば、37-1又は37-2)は、少なくとも酸窒化ケイ素の副層を含む。いくつかの実施形態では、酸素及び/又は窒素拡散濃度が制御されるため、複数のゲート間誘電体層37の各々は、図1Aに記載の構造を有することができる。例えば、各ゲート間誘電体層37は、それぞれが複数の交互に配置された酸窒化ケイ素および酸化ケイ素の副層を含む一対の複合層(例えば、37-1および37-2)を含む。各複合層の具体的な構造は、本開示の実施形態によって限定されるべきではない。いくつかの実施形態では、複合層は、酸化及び/又は窒化反応から基板20の上に形成されてもよい。
第2の層212の一部からゲート間誘電体層37を形成するプロセスとは異なり、図3Bに示すように、ゲート間誘電体層37は、誘電材料を堆積して側方凹部を充填し、酸化反応及び/又は窒化反応を実行して各ゲート間誘電体層37内に酸窒化ケイ素の少なくとも1つの副層を形成することによって形成することができる。このプロセスは、側方凹部および第1の初期スリット開口25を介して実行することができる。いくつかの実施形態では、酸化ケイ素又は窒化ケイ素などの誘電材料を、適切な堆積方法、例えばCVD、ALD、及び/又はPVDによって堆積させて、側方凹部を充填することができる。酸化反応及び/又は窒化反応は、隣接する第2の層212の間の堆積された誘電材料に対して実行されて、酸窒化ケイ素の少なくとも1つの副層を有する複合層を含むゲート間誘電体層37を形成することができる。いくつかの実施形態では、各複合層は、少なくとも酸窒化ケイ素の副層を含む。いくつかの実施形態では、複数のゲート間誘電体層37の各々が図1Bに記載の構造を有することができるように、酸素及び/又は窒素拡散濃度が制御される。例えば、各ゲート間誘電体層37は、複数の交互に配置された酸窒化ケイ素および酸化ケイ素の副層を有する複合層を含む。隣接する第2の層212の間にはエアギャップは形成されない。いくつかの実施形態では、ゲート間誘電体層37は、ブロッキング層231を覆う。各複合層の具体的な構造は、本開示の実施形態によって限定されるべきではない。いくつかの実施形態では、第2の層212は導体層38を形成する。いくつかの実施形態では、接着層(図示せず)は、誘電材料の堆積前に第2の層212上に形成されてもよい。いくつかの実施形態では、複合層はまた、第2の層212の側面(例えば、第1の初期スリット開口25の側壁)に形成され、第1の初期スリット開口25から第2の初期スリット開口35Bを形成することができる。いくつかの実施形態では、複合層は、酸化及び/又は窒化反応から基板20の上に形成されてもよい。
再び図9Bを参照すると、ゲート間誘電体層の形成後、ドープ領域が、第2の初期スリット開口の底部において基板内に形成され得る(工程926)。図3Cおよび図3Dは、対応する構造を示す。
図3Cおよび図3Dに示すように、ドープ領域36は、第2の初期スリット開口(例えば、図3Cの35Aおよび図3Dの35B)の底部において基板20内に形成されてもよい。イオン注入などの適切なドーピングプロセスを実行して、ドープ領域36を形成することができる。いくつかの実施形態では、ドーピングプロセスの前に基板20を露出させるために、第2の初期スリット開口(例えば、35Aおよび35B)の底部の複合層の一部が除去される。いくつかの実施形態では、第2の初期スリット開口(例えば、35Aおよび35B)の底部の複合層の一部が保持される。
再び図9Bを参照すると、ドープ領域の形成後、スリット開口が第2の初期スリット開口から形成される(工程928)。図3Eおよび図3Fは、対応する構造を示す。
図3Eおよび図3Fに示すように、スリット開口(例えば、図3Eの350Aおよび図3Fの350B)は、それぞれの第2の初期スリット開口(例えば、図3Cの35Aおよび図3Dの35B)から形成される。いくつかの実施形態では、導体層38の側面から余分な材料を除去するためにリセスエッチングが実行され、スリット開口350A/350Bを形成する。いくつかの実施形態では、第2の初期スリット開口35A/35Bの底部の基板20の上の余分な材料(例えば、複合層の材料)もエッチングして除去することができる。スリット開口350A/350Bの側壁は、導体層38を露出させることができる。いくつかの実施形態では、スリット開口350Aの側壁は、エアギャップ373を露出させる。いくつかの実施形態では、スリット開口350A/350Bの側壁はまた、ゲート間誘電体層37を露出させる。
図9Bに戻り、スリット開口に絶縁構造体を形成する(工程930)。図3Gおよび図3Hは、対応する構造を示す。
図3Gおよび図3Hに示すように、絶縁構造体(例えば、図3Gの320Aおよび図3Hの320B)は、それぞれのスリット構造(例えば、図3Gの350Aおよび図3Hの350B)内に形成されてもよい。いくつかの実施形態では、絶縁構造体320A/320Bは、それぞれのスリット開口350A/350Bの側壁の上に形成され、それぞれのスリット開口350A/350Bの底部で基板20(例えば、又はドープ領域36)を露出させる。いくつかの実施形態では、絶縁構造体320A/320Bは、酸化ケイ素などの誘電材料を含み、CVD、ALD、LPCVD、及び/又はPVDなどの適切な堆積プロセスによって堆積される。いくつかの実施形態では、リセスエッチング(例えば、ドライエッチング及び/又はウェットエッチング)を実行して、スリット構造350A/350Bの底部の余分な材料(例えば、絶縁構造体320A/320Bの形成中に堆積される材料)を除去して基板20(例えば、又はドープ領域36)を露出させる。
再び図9Bを参照すると、絶縁構造体の形成後、絶縁構造体内にソース接点が形成される(工程932)。図3Iおよび図3Jは、対応する構造を示す。
図3Iおよび図3Jに示すように、適切な導電性材料を絶縁構造体320A/320B内に堆積させて、それぞれのソース接点321を形成することができる。ソース接点321を形成するために、任意の適切な堆積方法を使用することができる。例えば、ソース接点321は、CVD、ALD、及び/又はPVDによって形成することができる。いくつかの実施形態では、ソース接点321はタングステンを含み、CVDによって堆積される。いくつかの実施形態では、ソース接点321A、ドープ領域36、およびそれぞれの絶縁構造体320A/320Bは、ソース構造を形成する。スタック構造の上面を平坦化する、例えば、ソース構造、半導体チャネル24、及び/又はゲート間誘電体層37を平坦化するために、適切な平坦化プロセス(例えば、リセスエッチング及び/又は化学機械研磨)を実行することができる。
図4A~図4Gは、いくつかの実施形態による、構造200に基づいてメモリデバイス101および102を形成するための「ゲートファースト」方法を示す。具体的には、図4A、図4B、図4D、および図4Fは、構造200に基づいてメモリデバイス101を形成するための製造プロセスを示し、図4A、図4C、図4E、および図4Gは、構造200に基づいてメモリデバイス102を形成するための製造プロセスを示す。「ゲートファースト」法では、第1の層211は犠牲材料を含み、第2の層212は続いて導体層18を形成するための導体材料を含む。いくつかの実施形態では、第2の層212はポリシリコンを含む。図9Cは、メモリデバイス101および102を形成するための図4A~4Gに示す製造プロセスのフローチャート940を示す。
図9Cに示すように、製造プロセスの開始時に、複数の第1の層が除去され(工程942)、各第2の層の底部の下にメモリ部分を有するメモリ層が形成される(工程944)。メモリ部分は、互いに切り離されている。図4Aは、対応する構造を示す。いくつかの実施形態では、第1の層(例えば、211)を除去して、ブロッキング層(例えば、231)および基板(例えば、20)を露出させる複数の側方凹部を形成するために、等方性エッチングプロセス(例えば、ウェットエッチング)が実行される。
図4Aに示すように、それぞれがそれぞれの第2の層212の底部の下にあり、互いに切り離された複数のブロッキング部分を有するブロッキング層431が形成される。また、それぞれがそれぞれのブロッキング部分の下にある複数のメモリ部分を有するメモリ層432が形成される。各メモリ部分は、垂直部分432-1と、垂直部分432-1に接続された少なくとも1つの側方部分432-2とを含むことができる。いくつかの実施形態では、各メモリ部分は、それぞれの垂直部分432-1の異なる端部に接続されている一対の側方部分432-2を含む。各メモリ部分は、それぞれの第2の層212の底部の下のそれぞれのブロッキング部分を囲んでもよく、垂直方向に沿って互いに切り離されてもよい。メモリ層432の下にあり、それを部分的に囲むトンネル層433もまた形成され、一貫して垂直方向に沿って延在する。いくつかの実施形態では、トンネル層433は、隣接する第2の層212の間に露出されてもよい。
構造200に対して適切なエッチングプロセス(例えば、ウェットエッチング)を実行して、半導体チャネル24の一部を第1の初期スリット開口25および側方凹部から除去することができる。いくつかの実施形態では、少なくとも第2のメモリ部分232bが除去されて、第1のメモリ部分232aの側方部分232a-2を露出させる。第1のメモリ部分232aは、全部又は一部を保持してメモリ部分を形成できる。エッチングプロセスに応じて、側方部分232-2はオーバーエッチングされてもよく、側方部分232a-2の長さは、異なる用途において横方向に沿って変化してもよい。いくつかの実施形態では、ブロッキング層231およびトンネル層233の一部もエッチングプロセス中に除去することができる。互いに切り離された、メモリ部分の上にブロッキング部分を、形成することができる。半導体チャネル24は、メモリ部分の形成後に、半導体チャネル44を形成することができる。
再び図9Cを参照すると、隣接する導体層の間にゲート間誘電体層が形成され、第2の初期スリット開口が形成される(工程946)。また、第2の初期スリット開口の底部の基板内にドープ領域が形成される(工程948)。図4Bおよび図4Cは、それぞれ対応する構造を示す。
図4Bは、エアギャップを有するゲート間誘電体層47を示す。図4Bに示すように、スタック構造内にゲート間誘電体層47、導体層48、第2の初期開口45A、およびドープ領域46を形成することができる。いくつかの実施形態では、ゲート間誘電体層47は、一対の複合層47-1および47-2と、複合層47-1および47-2の間のエアギャップ473とを含む。これらの構造を形成するための製造プロセスは、図3Aおよび図3Cに示すゲート間誘電体層37、導体層38、第2の初期スリット開口35A、およびドープ領域36を形成するための製造プロセスを参照することができ、ここでは繰り返さない。
図4Cは、エアギャップのないゲート間誘電体層47を示す。図4Cに示すように、ゲート間誘電体層47、導体層48、第2の初期開口45B、およびドープ領域46をスタック構造内に形成することができる。いくつかの実施形態では、ゲート間誘電体層47は、隣接する導体層48の間の空間を充填する複合層を含む。いくつかの実施形態では、ゲート間誘電体層47は、ブロッキング層431、メモリ層432、およびトンネル層433の露出部分を覆う。これらの構造を形成するための製造プロセスは、図3Bおよび図3Dに示すゲート間誘電体層37、導体層38、第2の初期スリット開口35B、およびドープ領域36を形成するための製造プロセスを参照することができ、ここでは繰り返さない。
再び図9Cを参照すると、ドープ領域およびゲート間誘電体層の形成後、第2の初期スリット開口からスリット開口が形成され(工程950)、スリット開口内に絶縁構造体が形成される(工程952)。図4Dおよび図4Eはそれぞれ対応する構造を示す。
図4Dおよび図4Eに示すように、スリット開口(例えば、図4Dの450Aおよび図4Eの450B)および絶縁構造体(例えば、図4Dの420Aおよび図4Eの420B)を形成することができる。スリット開口450Aおよび絶縁構造体420Aを形成するための製造プロセスは、図3Eおよび図3Gのスリット開口350Aおよび絶縁構造体320Aを形成するための製造プロセスを参照することができ、スリット開口450Bおよび絶縁構造体420Bを形成するための製造プロセスは、図3Fおよび図3Hのスリット開口350Bおよび絶縁構造体320Bを形成するための製造プロセスを参照することができる。ここでは詳細を繰り返さない。
再び図9Cを参照すると、スリット開口および絶縁構造の形成後、絶縁構造体内にソース接点が形成される(工程954)。図4Fおよび図4Gはそれぞれ対応する構造を示す。
図4Fおよび図4Gに示すように、それぞれのドープ領域46に接触するソース接点421がそれぞれの絶縁構造体(例えば、図4Fの420Aおよび図4Gの420B)内に形成される。ソース接点421を形成するための製造プロセスは、図3Iおよび図3Jに示すソース接点321を形成するための製造プロセスを参照することができる。ここでは詳細を繰り返さない。
図5A~図5D、図5E、および図5Iは、いくつかの実施形態による、ゲート間誘電体層にエアギャップを有するメモリデバイス105を形成するための「ゲートファースト」方法を示す。図5A~図5D、図5F、および図5Jは、いくつかの実施形態による、ゲート間誘電体層にエアギャップのないメモリデバイスを形成するための「ゲートファースト」方法を示す。図10は、図5A~図5Jに示す製造プロセスのためのフローチャート1000を示す。
製造プロセスの開始時に、半導体チャネルがスタック構造内に形成される(工程1002)。図5A~図5Cは、対応する構造を示す。
図5A~図5Cに示すように、半導体チャネル54を、基板50の上のスタック構造51内に形成することができる。図5Aに示すように、スタック構造51は、複数の階段を形成する複数の交互に配置された第1の層511および第2の層512を含むことができ、各第1の層511/第2の層512は階段/レベルを形成する。第1の層511は犠牲材料を含んでもよく、第2の層512は、後にメモリデバイスのゲート電極として機能する導体層を形成するための導体材料を含んでもよい。基板50の材料、ならびにスタック構造51を形成するための材料および製造プロセスの詳細な説明は、図2Aの基板20およびスタック構造21の説明を参照することができ、ここでは繰り返さない。いくつかの実施形態では、基板50はシリコンを含み、第1の層511は窒化ケイ素及び/又は酸化ケイ素を含み、第2の層512はポリシリコンを含む。
図5Aに示すように、スタック構造51を垂直に貫通するチャネルホール52を形成することができる。チャネルホール52を形成するための製造プロセスは、初期チャネルホール22(例えば、図2Bに示す)を形成するための製造プロセスと同様又は同一であってもよい。図2Cに示すチャネルホール222の形成と異なり、チャネルホール52内において、第1の層511および第2の層512の側面間にオフセットは形成されていない。すなわち、第1の層511および第2の層512の側面は、垂直方向に沿って同一平面上にあってもよい。ブロッキング材料層531m、メモリ材料層532m、およびトンネル材料層533mは、チャネルホール52の側壁の上に順次堆積されてもよい。これらの材料層を形成するための材料および堆積プロセスは、図2Dに示すブロッキング材料層、メモリ材料層、およびトンネル材料の材料および堆積プロセスの説明を参照することができ、ここでは繰り返さない。
図5Bに示すように、ブロッキング材料層531m、メモリ材料層532m、およびトンネル材料層533mの一部を除去して基板50を露出させることができる。図2Dに示すエッチングプロセスと同様のエッチングプロセスを実行することができ、ブロッキング層531、メモリ層532、およびトンネル層533を形成することができる。
図5Cに示すように、半導体層534および誘電体コア59を順次堆積してチャネルホール52を充填し、半導体チャネル54を形成することができる。半導体層534および誘電体コアを形成するための材料および堆積プロセスは、図2Eおよび図2Fに示す半導体層234および誘電体コア29を形成するための材料および堆積プロセスの説明を参照することができ、ここでは繰り返さない。
再び図10を参照すると、半導体チャネルの形成後、隣接する導体層の間にゲート間誘電体層が形成され、第2の初期スリット開口が形成される(工程1004)。図5Dおよび図5Eは、エアギャップを有するゲート間誘電体層を有する対応する構造を示す。図5Dおよび図5Fは、エアギャップのないゲート間誘電体層を有する対応する構造を示す。
図5Dに示すように、スタック構造を垂直に貫通する第1の初期スリット開口55を形成することができ、第1の層511を第1の初期スリット開口55を介して除去して複数の側方凹部を形成することができる。第1の初期スリット開口55の形成は、図2Gに示す第1の初期スリット開口25の形成を参照することができ、側方凹部の形成、および図3Aに示す側方凹部の形成を参照することができる。いくつかの実施形態では、ブロック層531の一部は、側方凹部に露出している。ここでは詳細を繰り返さない。
図5Eは、図5Dに示す構造から形成された構造を示す。いくつかの実施形態では、図5Eに示すように、ゲート間誘電体層57および第2の初期スリット開口55Aを形成することができる。ゲート間誘電体層57は、隣接する導体層58の間に配置されてもよい。ゲート間誘電体層57は、一対の複合層57-1および57-2と、複合層57-1および57-2の間のエアギャップ573とを含むことができる。ゲート間誘電体層57および第2の初期スリット開口55Aを形成するための材料、構造、および製造プロセスは、図3Aに示すゲート間誘電体層37および第2の初期スリット開口35Aを形成するための材料、構造、および製造プロセスの説明を参照することができ、ここでは繰り返さない。
図5Fは、図5Dに示す構造から形成された別の構造を示す。いくつかの実施形態では、図5Eに示すように、ゲート間誘電体層57および第2の初期スリット開口55Bを形成することができる。ゲート間誘電体層57は、隣接する導体層58の間に配置され、隣接する導体層58の間にエアギャップがなくてもよい。ゲート間誘電体層57は、隣接する導体層58の間に複合層を含むことができる。ゲート間誘電体層57および第2の初期スリット開口55Bを形成するための材料、構造、および製造プロセスは、図3Bに示すゲート間誘電体層37および第2の初期スリット開口35Bを形成するための材料、構造、および製造プロセスの説明を参照することができ、ここでは繰り返さない。
再び図10を参照すると、ゲート間誘電体層および第2の初期スリット開口の形成後、第2のスリット構造の底部にドープ領域が形成され、第2の初期スリット構造からスリット構造が形成される(工程1006)。図5Gおよび図5Hはそれぞれ、それぞれの構造を示す。
図5Gおよび図5Hに示すように、それぞれの基板50内にドープ領域56が形成され、スタック構造を貫通して、基板50(例えば、それぞれのドープ領域56)を露出させるスリット構造(例えば、図5Gの550Aおよび図5Hの550B)が形成される。ドープ領域56およびスリット開口550A/550Bを形成するための具体的な製造プロセスは、ドープ領域36およびスリット開口350A/350Bを形成するための製造プロセスの説明を参照すべきであり、ここでは繰り返さない。
再び図10を参照すると、ドープ領域およびスリット構造の形成後、スリット構造内に絶縁構造体が形成され、絶縁構造体内にソース接点が形成される(工程1008)。図5Iおよび図5Jはそれぞれ、それぞれの構造を示す。
図5Iおよび図5Jに示すように、それぞれの絶縁構造体520A/520Bには、絶縁構造体(例えば、図5Iの520Aおよび図5Jの520B)およびソース接点521が形成されている。いくつかの実施形態では、ソース接点521は、それぞれのドープ領域36に接触する。絶縁構造体520A/520Bおよびソース接点521を形成するための材料および製造プロセスの説明は、図3Iおよび図3Jに示す絶縁構造体320A/320Bおよびソース接点521を形成するための材料および製造プロセスの説明を参照すべきであり、ここでは繰り返さない。
図6A~図6Iは、いくつかの実施形態による、構造200からの隣接する導体層の間にゲート間誘電体層を有するメモリデバイスを形成するための「ゲートラスト」方法を示す。具体的には、図6A、図6B、図6D、図6F、および図6Hは、複数の第1の層の各々の全体からゲート間誘電体層を形成するための製造プロセスを示し、図6A、図6C、図6E、図6G、および図6Iは、複数の第1の層の各々の一部からゲート間誘電体層を形成するための製造プロセスを示す。いくつかの実施形態では、図6A、図6B、図6D、図6F、および図6Hは、メモリデバイス104を形成するための製造プロセスを示し、図6A、図6C、図6E、図6G、図6Iは、メモリデバイス106を形成するための製造プロセスを示す。この「ゲートラスト」法では、第1の層211はゲート間誘電体層を形成するための誘電材料を含み、第2の層212はゲート電極として機能する導体層を形成するための犠牲材料を含む。誘電材料は、酸化ケイ素及び/又は窒化ケイ素を含んでもよい。いくつかの実施形態では、第1の層211は窒化ケイ素を含む。いくつかの実施形態では、第2の層212は、第1の層211の材料とは異なる材料を含む。いくつかの実施形態では、第2の層212は、ポリシリコン、炭素、及び/又は有機膜を含む。図9Dは、図6A~図6Iに示す製造プロセスのためのフローチャート960を示す。
図6Aに示すように、製造プロセスの開始時に、複数の第2の層が除去される(工程962)。図6Aは、対応する構造を示す。
いくつかの実施形態では、等方性エッチングプロセス(例えば、ウェットエッチング)を実行して、第2の層212を除去し、ブロッキング層231および基板20を露出させる。複数の側方凹部62は、第2の層212の除去から第1の初期スリット開口25を通って形成することができる。ブロッキング層231の一部は、側方凹部62によって露出され得る。
再び図9Dを参照すると、第2の層の除去および側方凹部の形成の後、ゲート間誘電体層が隣接する側方凹部の間に形成され、第2の初期スリット開口が形成される(工程964)。図6Bおよび図6Cはそれぞれ、対応する構造を示す。
いくつかの実施形態では、図6Aおよび図6Bのゲート間誘電体層67は、第1の初期スリット開口25および側方凹部62を介して第1の層211を酸化することによって形成される。いくつかの実施形態では、複数のゲート間誘電体層67を形成するために、各ゲート間誘電体層37が酸窒化ケイ素及び/又は酸化ケイ素の所望の数の副層を含むように、酸素拡散濃度が制御される。各複合層の具体的な構造は、本開示の実施形態によって限定されるべきではない。第2の初期スリット開口(例えば、図6Bの65Aおよび図6Cの65B)は、それぞれの第1の初期スリット開口(例えば、図6Aの25)から第1の層211上に酸化プロセスによって形成されてもよい。いくつかの実施形態では、酸化層61は、酸素と基板20との間の酸化反応から第2の初期スリット構造65A/65Bの底部で基板20の上に形成されてもよい。
図6Bは、各第1の層211を完全酸化して各ゲート間誘電体層を形成した構造を示している。図6Bに示すように、各第1の層211全体部分の酸化から酸化反応を実行して、ゲート間誘電体層67を形成してもよい。各ゲート間誘電体層67は、後に形成される隣接する導体層の間に、それぞれの第1の層211の全体部分から形成される少なくとも酸窒化ケイ素の副層を含む複合層を含んでもよい。いくつかの実施形態では、各複合層は、少なくとも酸窒化ケイ素の副層と、少なくとも酸化ケイ素の副層とを含む。いくつかの実施形態では、各複合層は、図8Bに示す構造などの、酸窒化ケイ素および酸化ケイ素の複数の交互に配置された副層を含む。
図6Cは、各第1の層211を部分的に酸化してゲート間誘電体層67を形成した構造を示す。ゲート間誘電体層67は、各第1の層211の全体部分ではなく外側部分の酸化から形成される一対の複合層(例えば、67-1および67-2)を含んでもよい。図6Cに示すように、酸化反応を実行して、各第1の層211の外側部分からゲート間誘電体層67を形成してもよい。各ゲート間誘電体層67は、続いて形成される隣接する導体層の間に形成された一対の複合層(例えば、67-1および67-2)を含むことができる。各複合層は、第1の層211の外側部分から形成されてもよい。いくつかの実施形態では、複合層67-1は、第1の層211の上部(例えば、第1の層211の上面から第1の層211の内部に延在する部分)から形成され、複合層67-2は、同じ第1の層211の底部(例えば、第1の層211の下面から第1の層211の内部に延在する部分)から形成される。第1の層211の未反応部分は、複合層67-1および67-2に挟まれていても囲まれていてもよく、未反応誘電体層670(例えば、窒化ケイ素からなる)と称されてもよい。いくつかの実施形態では、ゲート間誘電体層67は、一対の複合層67-1および67-2と、複合層67-1および67-2の間の未反応誘電体層670とを含む。複合層67-1および67-2、ならびに未反応誘電体層670の厚さは、それぞれ酸化プロセスによって決定することができ、未反応誘電体層670の厚さはゼロより大きい。いくつかの実施形態では、各複合層67-1/67-2は、少なくとも酸窒化ケイ素の副層を含む。いくつかの実施形態では、各複合層67-1/67-2は、少なくとも酸窒化ケイ素の副層と、少なくとも酸化ケイ素の副層とを含む。いくつかの実施形態では、各複合層は、図8Bに示す構造などの、酸窒化ケイ素および酸化ケイ素の複数の交互に配置された副層を含む。いくつかの実施形態では、ゲート間誘電体層67は、一対の複合層67-1および67-2と、複合層67-1および67-2の間の未反応誘電体層670とを含む。すなわち、ゲート間誘電体層67は、酸窒化ケイ素および酸化ケイ素の副層の2つの交互に配置されたスタックによって挟まれた窒化ケイ素の副層を含む。
再び図9Dを参照すると、ゲート間誘電体層の形成後、複数の導体層およびスリット開口が形成される(工程966)。図6Dおよび図6Eはそれぞれ、対応する構造を示す。
図6Dおよび図6Eに示すように、それぞれの第2の初期スリット開口65A/65Bから複数の導体層68およびそれぞれのスリット開口(例えば、図6Dの650Aおよび図6Eの650B)が形成されている。いくつかの実施形態では、導体材料層を各側方凹部62内に堆積させて、それぞれの第2の初期スリット開口65A/65Bを通して側方凹部62内の空間を充填することができ、リセスエッチング(例えば、ドライ及び/又はウェットエッチング)を実行して、第2の初期スリット開口65A/65Bの側壁上の余分な導体材料層および複合層67-1/67-2の一部を除去し、それぞれの導体層68およびそれぞれのスリット開口650A/650Bを形成することができる。いくつかの実施形態では、導体層68は、タングステン、銅、アルミニウム、コバルト、ケイ化物、ドープポリシリコン及び/又はポリシリコンを含む。いくつかの実施形態では、接着層624は、例えば、導体材料層とゲート間誘電体層67との間の接着性を改善するために、導体材料層の堆積前にそれぞれの第2の初期スリット開口を通して側方凹部62内に堆積される。いくつかの実施形態では、接着層624は、チタン(Ti)及び/又は窒化チタン(TiN)を含む。いくつかの実施形態では、導体材料層および接着層624はそれぞれ、CVD、ALD、LPCVD、及び/又はPVDのうちの1つ以上などの適切な方法によって堆積される。
再び図9Dを参照すると、導体層の形成後、スリット開口の底部の基板内にドープ領域が形成され、スリット開口内に絶縁構造体が形成される(工程968)。図6Fおよび図6Gはそれぞれ、対応する構造を示す。
図6Fおよび図6Gに示すように、それぞれのドープ領域66を基板20内に形成することができる。ドープ領域16は、基板10内に形成された適切なドープ(例えば、P型又はN型)半導体領域を含むことができ、基板20の極性とは反対である。イオン注入などの適切なドーピングプロセスを実行して、ドープ領域66を形成することができる。いくつかの実施形態では、ドープ領域66は、ドープシリコンを含む。
それぞれの絶縁構造体(例えば、図6Fの620Aおよび図6Gの620B)を形成して、それぞれの導体層68を引き続いて形成されるソース接点から絶縁することができる。いくつかの実施形態では、絶縁構造体620A/620Bはそれぞれ、それぞれのスリット開口の側壁を覆い、基板20(例えば、それぞれのドープ領域66)を露出させる。いくつかの実施形態では、絶縁構造体620Aは、ゲート間誘電体層67の複合層の側面、導体層68、および接着層624を覆う。いくつかの実施形態では、絶縁構造体620Bは、ゲート間誘電体層67の複合層の側面、ゲート間誘電体層67の未反応誘電体層670、導体層68、および接着層624を覆う。絶縁構造体620A/620Bを形成するために、それぞれのスリット開口650A/650Bの側壁を覆うように適切な絶縁材料を堆積させることができ、スリット開口650A/650Bの側壁および底部上の絶縁材料の余分な部分を除去するために適切なリセスエッチング(例えば、ドライエッチング及び/又はウェットエッチング)を実行することができる。それぞれの酸化層61は、リセスエッチングプロセスによって除去することもできる。絶縁構造体620A/620Bは、スリット開口650A/650B内に形成することができる。いくつかの実施形態では、絶縁構造体120は酸化ケイ素を含み、CVD、ALD、LPCVD、及び/又はPVDのいずれか1つによって堆積される。様々な実施形態において、それぞれの絶縁構造体620A/620Bおよびドープ領域66を形成する順序は、異なる製造工程に基づいて変更することができ、本開示の実施形態によって限定されるべきではない。
再び図9Dを参照すると、絶縁構造体およびドープ領域の形成後、ソース接点が絶縁構造体内に形成される(工程970)。図6Hおよび図6Iはそれぞれ、対応する構造を示す。
図6Hおよび図6Iに示すように、ソース接点621が、それぞれの絶縁構造体620A/620B内に形成される。ソース接点621は、それぞれのドープ領域66に接触し、ドープ領域66および基板20を介して半導体チャネル24との電気的接続を形成することができる。ソース接点621は、タングステン、コバルト、銅、アルミニウム、ケイ化物、及び/又はドープポリシリコンのうちの1つ以上を含むことができ、CVD、PVD、及び/又はALDのうちの1つ以上によって堆積させることができる。絶縁構造体620A/620Bおよびソース接点621の余分な材料を除去するために、適切なCMP及び/又はリセスエッチングを実行することができる。
いくつかの実施形態では、「ゲートラスト」方法はまた、例えば一貫して垂直方向に沿って延在する、側方部分のない半導体チャネルを有するメモリデバイスを形成するために使用される。例えば、メモリデバイスを形成するために、半導体チャネル54(例えば、図5Cに示す)と同様又は同一の半導体チャネルをスタック構造に形成することができる。スタック構造51とは異なるスタック構造は、図6A~図6Iに示すスタック構造と同様又は同一の、複数の交互に配置された誘電材料層の第1の層および犠牲材料層の第2の層を有することができる。いくつかの実施形態では、第1の層は窒化ケイ素を含み、第2の層は、ポリシリコン、炭素、及び/又は有機膜など、第1の層とは異なる材料を含む。図6Aに示す製造工程と同様に、第2の層を除去して複数の側方凹部を形成することができる。次いで、第1の層は、図6B~図6Cに示される酸化プロセスと同様の酸化反応を使用して酸化されて、複数のゲート間誘電体層を形成することができる。スタック構造は、図6D~図6Iに示す製造プロセスを使用してさらに処理されて、例えば、ソース接点、絶縁構造体、および導体層などの他の部品を形成することができる。メモリデバイスを形成するための材料および製造プロセスの詳細な説明は、図5A~図5Jおよび図6A~図6Iの説明を参照することができ、したがってここでは繰り返さない。
様々な実施形態では、第1の層及び/又は第2の層の材料に基づいて、ゲート間誘電体層は、本開示で導入される材料とは異なる材料を含むことができる。本開示の方法を使用することにより、第1の層及び/又は第2の層は、適切な反応(例えば、酸化及び/又は窒化反応)を受けて、それぞれのゲート間誘電体層内に少なくとも高k誘電体材料の副層を形成することができる。例えば、x81は酸化ハフニウム(HfO)を含んでもよく、x82は酸窒化ハフニウム(HfO、例えばHfON)を含んでもよい。いくつかの実施形態では、メモリデバイス102および104のゲート間誘電体層17は、第1の層211の除去によって形成される側方凹部を充填するために酸化ハフニウムを堆積し、導体層18の間の酸化ハフニウムに対して酸化及び/又は窒化プロセスを実行して、ゲート間誘電体層17に少なくとも酸窒化ハフニウムの副層を形成することによって形成することができる。いくつかの実施形態では、「ゲートファースト」方法では、第2の層212はハフニウムを含み、メモリデバイス101、103、105、および106のゲート間誘電体層17(例えば、各々が「ゲートファースト」法によって形成される)は少なくとも酸窒化ハフニウムの副層を含む。いくつかの実施形態では、「ゲートラスト」方法では、第1の層211はハフニウムを含み、メモリデバイス104および106のゲート間誘電体層17(例えば、各々が「ゲートラスト」法によって形成される)は少なくとも酸窒化ハフニウムの副層を含む。ゲート間誘電体層の具体的な材料は、本開示の実施形態によって限定されるべきではない。
いくつかの実施形態では、3Dメモリデバイスを形成するための方法は、以下の工程を含む。まず、基板の上に交互に配置された複数の第1の層と複数の第2の層とのスタック構造に初期チャネルホールを形成する。初期チャネルホールの側壁において、複数の第1の層の各々の側面と複数の第2の層の各々の側面との間にオフセットが形成され、チャネルホールが形成される。半導体チャネルは、チャネルホールをチャネル形成構造体で充填することによって形成され、半導体チャネルは、それぞれの第2の層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを含むメモリ層を有する。また、複数の第1の層を除去し、複数の第2の層から複数の導体層を形成する。隣接する導体層の間には、ゲート間誘電体層が形成されている。ゲート間誘電体層は、酸窒化ケイ素の少なくとも1つの副層と、エアギャップとを含む。
いくつかの実施形態では、複数の第1の層を除去することは、スタック構造を貫通する第1の初期スリット開口を形成することと、基板を露出させることと、半導体チャネルの一部を露出させる複数の側方凹部を形成するために、第1の初期スリットを通して複数の第1の層を除去することとを含む。
いくつかの実施形態では、チャネルホールをチャネル形成構造体で充填することは、チャネルホールの側壁の上にブロッキング層を形成することと、ブロッキング層の上にメモリ層を形成することと、メモリ層の上にトンネル層を形成することと、トンネル層の上に半導体層を形成することと、チャネルホールを充填するために半導体層の上に誘電体コアを形成することとを含む。
いくつかの実施形態では、複数の導体層、ゲート間誘電体層、および第2の初期スリット開口を形成することは、複数の第2の層の各々の一部からの複合層、それぞれの導体層を形成するそれぞれの第2の層の残りの部分、隣接する導体層上にあり、ゲート間誘電体層を形成する互いに対向する一対の複合層を形成することを含み、第1の初期スリット開口は第2の初期スリット開口を形成し、複合層は酸窒化ケイ素の少なくとも1つの副層を有する。
いくつかの実施形態では、複数の第2の層はポリシリコンを含み、複合層を形成することは、第1の初期スリット開口および複数の側方凹部を通して、複数の第2の層に対して酸化反応および窒化反応のうちの1つ以上を実行することを含む。複数の第2の層の各々の反応部分は、それぞれの複合層を形成し、複数の第2の層の各々の未反応部分は、それぞれの導体層を形成する。
いくつかの実施形態では、複合層は、それぞれの第2の層の上部および底部のそれぞれから形成される。
いくつかの実施形態では、ゲート間誘電体層を形成することは、一対の複合層の間にエアギャップを形成することをさらに含む。
いくつかの実施形態では、複合層を形成することは、複合層が酸窒化ケイ素の少なくとも1つの副層を含むように酸素拡散濃度を制御することを含む。
いくつかの実施形態では、複合層を形成することは、複合層が酸窒化ケイ素の少なくとも1つの副層および酸化ケイ素の少なくとも1つの副層を含むように酸素拡散濃度を制御することをさらに含む。
いくつかの実施形態では、複合層を形成することは、複合層が複数の交互に配置された酸窒化ケイ素の副層および酸化ケイ素の副層を含むように酸素拡散濃度を制御することをさらに含む。
いくつかの実施形態では、オフセットを形成することは、初期チャネルホールの側壁上の複数の第1の層の各々の側面の一部を除去することを含む。
いくつかの実施形態では、複数の第1の層の各々の側面の一部を除去することは、複数の第2の層の上の複数の第1の層を選択的にエッチングするリセスエッチングプロセスを実行することを含む。
いくつかの実施形態では、複数の第1の層および複数の第2の層は、基板の上に初期スタック構造を形成するために、基板の上に複数の第1の材料層および複数の第2の材料層を交互に堆積することによって形成される。複数の第1の材料層は、複数の第2の材料層とは異なるエッチング選択性を有してもよい。いくつかの実施形態では、複数の第1の層および複数の第2の層はまた、複数の第1の材料層および複数の第2の材料層を繰り返しエッチングして、階段構造に配置された複数の第1の層および複数の第2の層を有するスタック構造を形成することによって形成される。
いくつかの実施形態では、複数の第1の材料層を堆積させることは、窒化ケイ素材料層、酸化ケイ素材料層、又は酸窒化ケイ素材料層のうちの少なくとも1つを堆積させることを含む。
いくつかの実施形態では、方法は、第2の初期スリット開口の底部の基板内にドープ領域を形成するステップと、スリット開口の側壁に複数の導体層を露出させ、スリット開口の底部に基板を露出させるために複合層の一部を除去することによって第2の初期スリット開口からスリット開口を形成するステップと、スリット開口内に絶縁構造体を形成するステップとをさらに含む。絶縁構造体は、複数の導体層の露出部分の上にあり、スリット開口の底部で基板を露出させてもよい。方法はまた、絶縁構造体内にドープ領域と接触するソース接点を形成するステップを含むことができる。
いくつかの実施形態では、スリット開口内に絶縁構造体を形成することは、複数の導体層の露出部分および隣接する導体層の間のゲート間誘電体層を覆う酸化ケイ素層の層を堆積することを含み、ソース接点を形成することは、絶縁構造体内にタングステン、コバルト、銅、アルミニウム、ポリシリコン、ドープシリコン、又はケイ化物のうちの少なくとも1つを堆積することを含む。
いくつかの実施形態では、3Dメモリデバイスを形成するための方法は、基板の上に交互に配置された複数の第1の層および複数の第2の層のスタック構造に初期チャネルホールを形成するステップと、初期チャネルホールの側壁上で複数の第1の層の各々の側面と複数の第2の層の各々の側面との間にオフセットを形成してチャネルホールを形成するステップと、チャネルホールをチャネル形成構造体で充填することによって半導体チャネルを形成するステップとを含む。半導体チャネルは、それぞれの第2の層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを含むメモリ層を有することができる。本方法はまた、複数の第1の層を除去するステップと、それぞれの第2の層の中間部分から複数の導体層をそれぞれ形成するステップと、第2の層の表面部分から複合層を形成するステップであって、複合層は酸窒化ケイ素の少なくとも1つの副層を含む、形成するステップと、隣接する導体層の間にエアギャップを形成するステップとを含むことができる。
いくつかの実施形態では、複数の第1の層を除去することは、スタック構造を貫通する第1の初期スリット開口を形成することと、基板を露出させることと、半導体チャネルの一部を露出させる複数の側方凹部を形成するために、第1の初期スリットを通して複数の第1の層を除去することとを含む。
いくつかの実施形態では、チャネルホールをチャネル形成構造体で充填することは、チャネルホールの側壁の上にブロッキング層を形成することと、ブロッキング層の上にメモリ層を形成することと、メモリ層の上にトンネル層を形成することと、トンネル層の上に半導体層を形成することと、チャネルホールを充填するために半導体層の上に誘電体コアを形成することとを含む。
いくつかの実施形態では、複数の導体層、複合層、エアギャップ、および第2の初期スリット開口を形成することは、複数の第2の層の各々の上部および底部の各々から複合層を形成することを含み、上部と底部との間の中間部はそれぞれの導体層を形成し、隣接する導体層の間の複数の側方凹部の各々の未充填部分はエアギャップを形成し、第1の初期スリット開口は第2の初期スリット開口を形成する。
いくつかの実施形態では、複数の第2の層はポリシリコンを含み、複合層を形成することは、第1の初期スリット開口および複数の側方凹部を通して、複数の第2の層に対して酸化反応および窒化反応のうちの1つ以上を実行することを含む。複数の第2の層の各々の反応上部および底部は、それぞれの複合層を形成することができ、複数の第2の層の各々の反応上部と底部との間の未反応部分は、それぞれの導体層を形成することができる。
いくつかの実施形態では、複合層を形成することは、複合層が酸窒化ケイ素の少なくとも1つの副層を含むように酸素拡散濃度を制御することを含む。
いくつかの実施形態では、複合層を形成することは、複合層が酸窒化ケイ素の少なくとも1つの副層および酸化ケイ素の少なくとも1つの副層を含むように酸素拡散濃度を制御することをさらに含む。
いくつかの実施形態では、複合層を形成することは、複合層が複数の交互に配置された酸窒化ケイ素の副層および酸化ケイ素の副層を含むように酸素拡散濃度を制御することをさらに含む。
いくつかの実施形態では、オフセットを形成することは、初期チャネルホールの側壁上の複数の第1の層の各々の側面の一部を除去することを含む。
いくつかの実施形態では、複数の第1の層の各々の側面の一部を除去することは、複数の第2の層の上の複数の第1の層を選択的にエッチングするリセスエッチングプロセスを実行することを含む。
いくつかの実施形態では、複数の第1の層および複数の第2の層は、基板の上に初期スタック構造を形成するために、基板の上に複数の第1の材料層および複数の第2の材料層を交互に堆積することによって形成される。複数の第1の材料層は、複数の第2の材料層とは異なるエッチング選択性を有してもよい。いくつかの実施形態では、複数の第1の層および複数の第2の層はまた、複数の第1の材料層および複数の第2の材料層を繰り返しエッチングして、階段構造に配置された複数の第1の層および複数の第2の層を有するスタック構造を形成することによって形成される。
いくつかの実施形態では、複数の第1の材料層を堆積させることは、窒化ケイ素材料層、酸化ケイ素材料層、又は酸窒化ケイ素材料層のうちの少なくとも1つを堆積させることを含む。
いくつかの実施形態では、方法は、第2の初期スリット開口の底部の基板内にドープ領域を形成するステップと、スリット開口の側壁に複数の導体層を露出させ、スリット開口の底部に基板を露出させるために複合層の一部を除去することによって第2の初期スリット開口からスリット開口を形成するステップと、スリット開口内に絶縁構造体を形成するステップとをさらに含む。絶縁構造体は、複数の導体層の露出部分の上にあり、スリット開口の底部で基板を露出させてもよい。いくつかの実施形態では、方法はまた、絶縁構造体内にドープ領域と接触するソース接点を形成するステップを含む。
いくつかの実施形態では、スリット開口内に絶縁構造体を形成することは、複数の導体層の露出部分および隣接する導体層の間のゲート間誘電体層を覆う酸化ケイ素層の層を堆積することを含み、ソース接点を形成することは、絶縁構造体内にタングステン、コバルト、銅、アルミニウム、ポリシリコン、ドープシリコン、又はケイ化物のうちの少なくとも1つを堆積することを含む。
いくつかの実施形態では、3Dメモリデバイスは、ゲート間誘電体構造によって互いに絶縁された複数の導体層を含むスタック構造を含む。ゲート間誘電体構造は、酸窒化ケイ素の副層と、基板の上面に垂直な方向に沿って隣接する導体層間のエアギャップとを少なくとも含むことができる。3Dメモリデバイスはまた、スタック構造の上面から基板まで延在する半導体チャネルを含む。半導体チャネルは、それぞれの導体層の底部をそれぞれ囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを有するメモリ層を含むことができる。複数の第1のメモリ部分および複数の第2のメモリ部分は、基板の上面に垂直な垂直方向に沿って千鳥状に配置されてもよく、ソース構造は、スタック構造の上面から基板まで延在してもよい。
いくつかの実施形態では、ゲート間誘電体構造は、隣接する導体層の間にゲート間誘電体層を含み、ゲート間誘電体層は、隣接する導体層上の一対の複合層と、一対の複合層の間のエアギャップとを含む。一対の複合層はそれぞれ、少なくとも酸窒化ケイ素の副層を有することができる。
いくつかの実施形態では、一対の複合層はそれぞれ、酸化ケイ素の副層および酸窒化ケイ素の副層を少なくとも含む。
いくつかの実施形態では、一対の複合層はそれぞれ、複数の交互に配置された酸化ケイ素の副層および酸窒化ケイ素の副層を含む。
いくつかの実施形態では、複数の第1のメモリ部分はそれぞれ、垂直方向に沿った垂直部分と、基板の上面に平行な横方向に沿った少なくとも1つの側方部分とを含む。垂直部分および少なくとも1つの側方部分は、それぞれの導体層を垂直方向および横方向に部分的に囲んでもよい。
いくつかの実施形態では、半導体チャネルの側壁から半導体チャネルの中心への半径方向に沿って、半導体チャネルは、ブロッキング層と、ブロッキング層の上のメモリ層と、複数のメモリ部分の上のトンネル層と、トンネル層の上の半導体層と、半導体層の上の誘電体コアとを含む。
いくつかの実施形態では、各複合層は、垂直方向に沿って複数の第1のメモリ部分それぞれの垂直部分の端部の間に位置する。
いくつかの実施形態では、ブロッキング層は、第1のブロッキング層および第2のブロッキング層のうちの少なくとも一方を含む。第1のブロッキング層は、酸化アルミニウム(AlO)、酸化ハフニウム(HfO)、酸化ランタン(LaO)、酸化イットリウム(Y)、酸化タンタル(Ta)、それらのケイ酸塩、それらの窒素ドープ化合物、又はそれらの合金のうちの1つ以上を含むことができ、第2のブロッキング層は、酸化ケイ素、酸窒化ケイ素、および窒化ケイ素のうちの1つ以上を含む。いくつかの実施形態では、メモリ層は、タングステン、モリブデン、タンタル、チタン、白金、ルテニウム、それらの合金、それらのナノ粒子、それらのケイ化物、ポリシリコン、アモルファスシリコン、SiN、又はSiONのうちの少なくとも1つを含む電荷トラップ材料を含む。いくつかの実施形態では、トンネル層は、SiO、SiN、SiON、誘電性金属酸化物、誘電性金属酸窒化物、誘電性金属ケイ酸塩、又はそれらの合金のうちの少なくとも1つを含む。いくつかの実施形態では、半導体層は、1元素半導体材料、III-V族化合物半導体材料、II-VI族化合物半導体材料、又は有機半導体材料のうちの少なくとも1つを含む。いくつかの実施形態では、誘電体コアはSiOを含む。
いくつかの実施形態では、複数の導体層はそれぞれ、W、Co、Al、ドープシリコン、ケイ化物、およびそれらの組み合わせのうちの1つ以上の層を含む。いくつかの実施形態では、ソース構造はそれぞれ、絶縁構造体と、絶縁構造体内のソース接点とを含む。ソース接点は、基板と導電的に接触してもよい。絶縁構造体は、酸化ケイ素を含むことができ、ソース接点は、W、Co、Al、ドープシリコン、ケイ化物、およびそれらの組み合わせのうちの1つ以上を含む。
特定の実施形態の前述の説明は、本開示の一般的な性質を明らかにするので、他者は、当業者の技能の範囲内で知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験を行うことなく、そのような特定の実施形態を様々な用途に容易に修正及び/又は適合させることができる。したがって、そのような適合および修正は、本明細書に提示された教示およびガイダンスに基づいて、開示された実施形態の均等物の意味および範囲内にあることが意図されている。本明細書の表現又は用語は、本明細書の用語又は表現が教示およびガイダンスに照らして当業者によって解釈されるように、限定ではなく説明を目的とするものであることを理解されたい。
本開示の実施形態は、指定された機能およびその関係の実装を示す機能的構成要素を用いて上述されている。これらの機能的構成要素の境界は、説明の便宜上、本明細書では任意に定義されている。指定された機能およびそれらの関係が適切に実行される限り、代替の境界を定義することができる。
発明の概要および要約のセクションは、発明者によって企図される本開示のすべてではないが1つ又は複数の典型的な実施形態を記載することができ、したがって、本開示および添付の特許請求の範囲を決して限定することを意図するものではない。
本開示の幅および範囲は、上述の典型的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびそれらの均等物に従ってのみ定義されるべきである。

Claims (39)

  1. 三次元(3D)メモリデバイスを形成するための方法であって、
    基板の上に交互に配置された複数の第1の層および複数の第2の層のスタック構造内に初期チャネルホールを形成するステップと、
    前記初期チャネルホールの側壁上の前記複数の第1の層の各々の側面と前記複数の第2の層の各々の側面との間にオフセットを形成してチャネルホールを形成するステップと、
    前記チャネルホールをチャネル形成構造体で充填することによって半導体チャネルを形成するステップであって、前記半導体チャネルが、それぞれがそれぞれの第2の層の底部を囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを備えるメモリ層を有するステップと、
    前記複数の第1の層を除去するステップと、
    前記複数の第2の層から複数の導体層を形成するステップと、
    前記隣接する導体層の間にゲート間誘電体層を形成するステップであって、前記ゲート間誘電体層が、酸窒化ケイ素の少なくとも1つの副層とエアギャップとを含むステップと、を含む方法。
  2. 前記複数の第1の層を除去するステップは、
    前記スタック構造を貫通して延在する第1の初期スリット開口を形成し、前記基板を露出させるステップと、
    前記半導体チャネルの一部を露出させる複数の側方凹部を形成するために、前記第1の初期スリットを通して前記複数の第1の層を除去するステップと、を含む、請求項1に記載の方法。
  3. 前記チャネルホールをチャネル形成構造体で充填するステップは、
    前記チャネルホールの側壁の上にブロッキング層を形成するステップと、
    前記ブロッキング層の上に前記メモリ層を形成するステップと、
    前記メモリ層の上にトンネル層を形成するステップと、
    前記トンネル層の上に半導体層を形成するステップと、
    前記半導体層の上に誘電体コアを形成して前記チャネルホールを充填するステップと、を含む、請求項2に記載の方法。
  4. 前記複数の導体層、前記ゲート間誘電体層、および第2の初期スリット開口を形成するステップは、
    前記複数の第2の層の各々の一部から複合層を形成するステップであって、前記それぞれの第2の層の残りの部分がそれぞれの導体層を形成し、前記隣接する導体層上にあり、互いに対向する一対の複合層が、前記ゲート間誘電体層を形成し、前記第1の初期スリット開口が第2の初期スリット開口を形成し、前記複合層が酸窒化ケイ素の少なくとも1つの副層を有する、ステップと、を含む、請求項2又は3に記載の方法。
  5. 前記複数の第2の層はポリシリコンを含み、前記複合層を形成するステップは、
    前記第1の初期スリット開口および前記複数の側方凹部を通して、前記複数の第2の層に対して酸化反応および窒化反応のうちの1つ以上を実行するステップであって、前記複数の第2の層の各々の反応部分は前記それぞれの複合層を形成し、前記複数の第2の層の各々の未反応部分は前記それぞれの導体層を形成する、請求項4に記載の方法。
  6. 複合層は、前記それぞれの第2の層の上部および底部のそれぞれから形成される、請求項5に記載の方法。
  7. 前記ゲート間誘電体層を形成するステップは、前記一対の複合層の間に前記エアギャップを形成するステップをさらに含む、請求項6に記載の方法。
  8. 前記複合層を形成するステップは、前記複合層が前記酸窒化ケイ素の少なくとも1つの副層を含むように前記酸素拡散濃度を制御するステップを含む、請求項4から7のいずれか一項に記載の方法。
  9. 前記複合層を形成するステップは、前記複合層が酸窒化ケイ素の少なくとも1つの副層および酸化ケイ素の少なくとも1つの副層を含むように前記酸素拡散濃度を制御するステップをさらに含む、請求項8に記載の方法。
  10. 前記複合層を形成するステップは、前記複合層が複数の交互に配置された酸窒化ケイ素の副層および酸化ケイ素の副層を含むように前記酸素拡散濃度を制御するステップをさらに含む、請求項9に記載の方法。
  11. 前記オフセットを形成するステップは、前記初期チャネルホールの前記側壁上の前記複数の第1の層の各々の前記側面の一部を除去するステップを含む、請求項1から10のいずれか一項に記載の方法。
  12. 前記複数の第1の層の各々の前記側面の前記一部を除去するステップは、前記複数の第2の層の上の前記複数の第1の層を選択的にエッチングするリセスエッチングプロセスを実行するステップを含む、請求項11に記載の方法。
  13. 前記複数の第1の層および前記複数の第2の層は、
    前記基板の上に初期スタック構造を形成するために、前記基板の上に複数の第1の材料層および複数の第2の材料層を交互に堆積させるステップであって、前記複数の第1の材料層が、前記複数の第2の材料層とは異なるエッチング選択性を有するステップと、
    前記複数の第1の材料層および前記複数の第2の材料層を繰り返しエッチングして、階段構造に配置された前記複数の第1の層および前記複数の第2の層を有する前記スタック構造を形成するステップと、によって形成される、請求項1から12のいずれか一項に記載の方法。
  14. 前記複数の第1の材料層を堆積させるステップは、窒化ケイ素材料層、酸化ケイ素材料層、又は酸窒化ケイ素材料層のうちの少なくとも一つを堆積させるステップを含む、請求項13に記載の方法。
  15. 前記第2の初期スリット開口の底部で前記基板内にドープ領域を形成するステップと、
    前記複合層の一部を除去して前記スリット開口の側壁上の前記複数の導体層を露出させ、前記スリット開口の底部で前記基板を露出させることによって、前記第2の初期スリット開口からスリット開口を形成するステップと、
    前記スリット開口に絶縁構造体を形成するステップであって、前記絶縁構造体が、前記複数の導体層の前記露出部分の上にあり、前記スリット開口の底部で前記基板を露出させるステップと、
    前記絶縁構造体内に前記ドープ領域と接触するソース接点を形成するステップと、をさらに含む、請求項4から14のいずれか一項に記載の方法。
  16. 前記スリット開口内に絶縁構造体を形成することは、前記複数の導体層の前記露出部分および隣接する導体層の間の前記ゲート間誘電体層を覆う酸化ケイ素層の層を堆積するステップを含み、
    前記ソース接点を形成することは、前記絶縁構造体内にタングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、ポリシリコン、ドープシリコン、又はケイ化物のうちの少なくとも1つを堆積するステップを含む、請求項15に記載の方法。
  17. 三次元(3D)メモリデバイスを形成するための方法であって、
    基板の上に交互に配置された複数の第1の層および複数の第2の層のスタック構造内に初期チャネルホールを形成するステップと、
    前記初期チャネルホールの側壁上の前記複数の第1の層の各々の側面と前記複数の第2の層の各々の側面との間にオフセットを形成してチャネルホールを形成するステップと、
    チャネル形成構造体を前記チャネルホールに充填することによって半導体チャネルを形成するステップであって、前記半導体チャネルが、それぞれがそれぞれの第2の層の底部を囲む複数の第1のメモリ部分と、
    それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを含むメモリ層を有するステップと、
    前記複数の第1の層を除去するステップと、
    それぞれがそれぞれの第2の層の中間部分から複数の導体層を形成するステップと、
    前記第2の層の表面部分から複合層を形成するステップであって、前記複合層が、酸窒化ケイ素の少なくとも1つの副層を含むステップと、
    隣接する導体層の間にエアギャップを形成するステップと、を含む方法。
  18. 前記複数の第1の層を除去するステップは、
    前記スタック構造を貫通する第1の初期スリット開口を形成し、前記基板を露出させるステップと、
    前記半導体チャネルの一部を露出させる複数の側方凹部を形成するために、前記第1の初期スリットを通して前記複数の第1の層を除去するステップと、を含む、請求項17に記載の方法。
  19. 前記チャネルホールをチャネル形成構造体で充填するステップは、
    前記チャネルホールの側壁の上にブロッキング層を形成するステップと、
    前記ブロッキング層の上に前記メモリ層を形成するステップと、
    前記メモリ層の上にトンネル層を形成するステップと、
    前記トンネル層の上に半導体層を形成するステップと、
    前記チャネルホールを充填するために前記半導体層の上に誘電体コアを形成するステップと、を含む、請求項18に記載の方法。
  20. 前記複数の導体層、前記複合層、前記エアギャップ、および第2の初期スリット開口を形成するステップは、
    前記複数の第2の層の各々の上部および底部の各々から前記複合層を形成するステップであって、前記上部と前記底部との間の前記中間部分がそれぞれの導体層を形成し、隣接する導体層の間の前記複数の側方凹部の各々の未充填部分が前記エアギャップを形成し、前記第1の初期スリット開口が第2の初期スリット開口を形成するステップとを含む、請求項18又は19に記載の方法。
  21. 前記複数の第2の層はポリシリコンを含み、前記複合層を形成するステップは、
    前記第1の初期スリット開口および前記複数の側方凹部を通して、前記複数の第2の層に対して酸化反応および窒化反応のうちの1つ以上を実行するステップであって、前記複数の第2の層のそれぞれの反応上部および底部が、前記それぞれの複合層を形成し、前記複数の第2の層のそれぞれの前記反応上部と底部との間の未反応部分は前記それぞれの導体層を形成するステップと、を含む請求項20に記載の方法。
  22. 前記複合層を形成するステップは、前記複合層が前記酸窒化ケイ素の少なくとも1つの副層を含むように前記酸素拡散濃度を制御するステップを含む、請求項20又は21に記載の方法。
  23. 前記複合層を形成するステップは、前記複合層が酸窒化ケイ素の少なくとも1つの副層および酸化ケイ素の少なくとも1つの副層を含むように前記酸素拡散濃度を制御するステップをさらに含む、請求項22に記載の方法。
  24. 前記複合層を形成するステップは、前記複合層が複数の交互に配置された酸窒化ケイ素の副層および酸化ケイ素の副層を含むように前記酸素拡散濃度を制御するステップをさらに含む、請求項23に記載の方法。
  25. 前記オフセットを形成するステップは、前記初期チャネルホールの前記側壁上の前記複数の第1の層の各々の前記側面の一部を除去するステップを含む、請求項17から24のいずれか一項に記載の方法。
  26. 前記複数の第1の層の各々の前記側面の前記一部を除去するステップは、前記複数の第2の層の上の前記複数の第1の層を選択的にエッチングするリセスエッチングプロセスを実行するステップを含む、請求項25に記載の方法。
  27. 前記複数の第1の層および前記複数の第2の層は、
    前記基板の上に初期スタック構造を形成するために、前記基板の上に複数の第1の材料層および複数の第2の材料層を交互に堆積させるステップであって、前記複数の第1の材料層が、前記複数の第2の材料層とは異なるエッチング選択性を有するステップと、
    前記複数の第1の材料層および前記複数の第2の材料層を繰り返しエッチングして、階段構造に配置された前記複数の第1の層および前記複数の第2の層を有する前記スタック構造を形成するステップと、によって形成される、請求項17から26のいずれか一項に記載の方法。
  28. 前記複数の第1の材料層を堆積させるステップは、窒化ケイ素材料層、酸化ケイ素材料層、又は酸窒化ケイ素材料層のうちの少なくとも1つを堆積させるステップを含む、請求項27に記載の方法。
  29. 前記第2の初期スリット開口の底部で前記基板内にドープ領域を形成するステップと、
    前記複合層の一部を除去して前記スリット開口の側壁上の前記複数の導体層を露出させ、前記スリット開口部の底部で前記基板を露出させることによって、前記第2初期スリット開口からスリット開口を形成するステップと、
    前記スリット開口に絶縁構造体を形成するステップであって、前記絶縁構造体が、前記複数の導体層の前記露出部分の上にあり、前記スリット開口の前記底部で前記基板を露出させるステップと、
    前記絶縁構造体内に前記ドープ領域と接触するソース接点を形成するステップと、をさらに含む、請求項20から28のいずれか一項に記載の方法。
  30. 前記スリット開口内に絶縁構造体を形成するステップは、前記複数の導体層の前記露出部分および隣接する導体層の間の前記ゲート間誘電体層を覆う酸化ケイ素層の層を堆積するステップを含み、
    前記ソース接点を形成するステップは、前記絶縁構造体内にタングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、ポリシリコン、ドープシリコン、又はケイ化物のうちの少なくとも1つを堆積するステップを含む、請求項29に記載の方法。
  31. 三次元(3D)メモリデバイスであって、
    ゲート間誘電体構造によって互いに絶縁された複数の導体層を備えるスタック構造であって、前記ゲート間誘電体構造は、酸窒化ケイ素の副層と、前記基板の上面に垂直な方向に沿って隣接する導体層間のエアギャップとを少なくとも備える、スタック構造と、
    前記スタック構造の上面から前記基板まで延在する半導体チャネルであって、前記半導体チャネルは、それぞれがそれぞれの導体層の底部を囲む複数の第1のメモリ部分と、それぞれが隣接する第1のメモリ部分を接続する複数の第2のメモリ部分とを備えるメモリ層を備え、前記複数の第1のメモリ部分および前記複数の第2のメモリ部分は、前記基板の上面に垂直な垂直方向に沿って千鳥状に配置される、半導体チャネルと、
    前記スタック構造の前記上面から前記基板まで延在するソース構造と、を備える三次元(3D)メモリデバイス。
  32. 前記ゲート間誘電体構造は、隣接する導体層の間にゲート間誘電体層を備え、前記ゲート間誘電体層は、前記隣接する導体層上の一対の複合層と、前記一対の複合層の間の前記エアギャップとを備え、前記一対の複合層は各々、少なくとも酸窒化ケイ素の副層を有する、請求項31に記載の3Dメモリデバイス。
  33. 前記一対の複合層は各々、少なくとも酸化ケイ素の副層および酸窒化ケイ素の副層を備える、請求項32に記載の3Dメモリデバイス。
  34. 前記一対の複合層の各々は、複数の交互に配置された酸化ケイ素の副層および酸窒化ケイ素の副層を備える、請求項33に記載の3Dメモリデバイス。
  35. 前記複数の第1のメモリ部分は各々、前記垂直方向に沿った垂直部分と、前記基板の前記上面に平行な横方向に沿った少なくとも1つの側方部分とを備え、前記垂直部分および前記少なくとも1つの側方部分は、前記それぞれの導体層を垂直方向および横方向に部分的に囲む、請求項31から34のいずれか一項に記載の3Dメモリデバイス。
  36. 前記半導体チャネルの側壁から前記半導体チャネルの中心への半径方向に沿って、前記半導体チャネルは、ブロッキング層と、前記ブロッキング層の上の前記メモリ層と、前記複数のメモリ部分の上のトンネル層と、前記トンネル層の上の半導体層と、前記半導体層の上の誘電体コアとを備える、請求項31から35のいずれか一項に記載の3Dメモリデバイス。
  37. 各複合層は、前記垂直方向に沿って前記複数の第1のメモリ部分の各々の前記それぞれの垂直部分の端部の間に位置する、請求項36に記載の3Dメモリデバイス。
  38. 前記ブロッキング層は、第1のブロッキング層および第2のブロッキング層の少なくとも一方を含み、前記第1のブロッキング層は、酸化アルミニウム(AlO)、酸化ハフニウム(HfO)、酸化ランタン(LaO)、酸化イットリウム(Y)、酸化タンタル(Ta)、それらのケイ酸塩、それらの窒素ドープ化合物、又はそれらの合金のうちの少なくとも1つを含み、前記第2のブロッキング層は、酸化ケイ素、酸窒化ケイ素、および窒化ケイ素のうちの1つ以上を含み、
    前記メモリ層は、タングステン、モリブデン、タンタル、チタン、白金、ルテニウム、それらの合金、それらのナノ粒子、それらのケイ化物、ポリシリコン、アモルファスシリコン、SiN、又はSiONのうちの少なくとも1つを含む電荷トラップ材料を含み、
    前記トンネル層は、SiO、SiN、SiON、誘電性金属酸化物、誘電性金属酸窒化物、誘電性金属ケイ酸塩、又はそれらの合金のうちの少なくとも1つを含み、
    前記半導体層は、1元素半導体材料、III-V族化合物半導体材料、II-VI族化合物半導体材料、又は有機半導体材料のうちの少なくとも1つを含み、
    前記誘電体コアは、SiOを含む、請求項36又は37に記載の3Dメモリデバイス。
  39. 前記複数の導体層はそれぞれ、W、Co、Al、ドープシリコン、ケイ化物、およびそれらの組み合わせのうちの1つ以上の層を含み、
    前記ソース構造は各々、絶縁構造体と、前記絶縁構造体内のソース接点とを含み、前記ソース接点は、前記基板と導電的に接触し、前記絶縁構造体は、酸化ケイ素を含み、前記ソース接点は、W、Co、Al、ドープシリコン、ケイ化物、およびそれらの組み合わせのうちの1つ以上を含む、請求項31から38のいずれか一項に記載の3Dメモリデバイス。
JP2021546395A 2019-03-29 2019-06-28 3次元メモリデバイス Pending JP2022519752A (ja)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
CN201910248617.8A CN110071114B (zh) 2019-03-29 2019-03-29 3d nand闪存及其制备方法
CN201910248966.X 2019-03-29
CN201910248585.1 2019-03-29
CN201910248601.7 2019-03-29
CN201910248966.XA CN110047840B (zh) 2019-03-29 2019-03-29 3d nand闪存及制备方法
CN201910248967.4 2019-03-29
CN201910248585.1A CN110047839B (zh) 2019-03-29 2019-03-29 3d nand闪存及制备方法
CN201910248617.8 2019-03-29
CN201910248601.7A CN110137176B (zh) 2019-03-29 2019-03-29 3d nand闪存及制备方法
CN201910248967.4A CN110061008B (zh) 2019-03-29 2019-03-29 3d nand闪存及其制备方法
PCT/CN2019/093454 WO2020199389A1 (en) 2019-03-29 2019-06-28 Three-dimensional memory devices and fabrication methods thereof

Publications (1)

Publication Number Publication Date
JP2022519752A true JP2022519752A (ja) 2022-03-24

Family

ID=69814308

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021546395A Pending JP2022519752A (ja) 2019-03-29 2019-06-28 3次元メモリデバイス

Country Status (3)

Country Link
US (2) US11004861B2 (ja)
JP (1) JP2022519752A (ja)
CN (1) CN110914985B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110914985B (zh) 2019-03-29 2021-04-27 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896670B (zh) 2019-03-29 2021-06-08 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896672B (zh) * 2019-03-29 2021-05-25 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110914986B (zh) 2019-03-29 2021-05-14 长江存储科技有限责任公司 三维存储器件及其制造方法
CN111785730B (zh) * 2020-06-18 2021-06-08 长江存储科技有限责任公司 三维存储器及制备方法、电子设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012146773A (ja) * 2011-01-11 2012-08-02 Hitachi Kokusai Electric Inc 不揮発性半導体記憶装置およびその製造方法
US20160086972A1 (en) * 2014-08-26 2016-03-24 SanDisk Technologies, Inc. Monolithic three-dimensional nand strings and methods of fabrication thereof
US20160268292A1 (en) * 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
US20170278859A1 (en) * 2016-03-25 2017-09-28 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US20180219017A1 (en) * 2017-02-01 2018-08-02 Micron Technology, Inc. Nand memory arrays

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348618B2 (en) 2005-03-30 2008-03-25 Intel Corporation Flash memory cell having reduced floating gate to floating gate coupling
KR101551901B1 (ko) 2008-12-31 2015-09-09 삼성전자주식회사 반도체 기억 소자 및 그 형성 방법
KR20110035525A (ko) 2009-09-30 2011-04-06 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101699515B1 (ko) * 2010-09-01 2017-02-14 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
TWI427744B (zh) 2010-09-01 2014-02-21 Macronix Int Co Ltd 具有二極體於記憶串列中的三維陣列記憶體架構
KR101660262B1 (ko) * 2010-09-07 2016-09-27 삼성전자주식회사 수직형 반도체 소자의 제조 방법
KR101855437B1 (ko) 2010-12-02 2018-05-08 삼성전자주식회사 불휘발성 메모리 장치 및 그것의 동작 방법
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
US8841649B2 (en) 2012-08-31 2014-09-23 Micron Technology, Inc. Three dimensional memory array architecture
KR102015578B1 (ko) * 2012-09-11 2019-08-28 삼성전자주식회사 불휘발성 메모리 장치 및 그 형성방법
US8890254B2 (en) 2012-09-14 2014-11-18 Macronix International Co., Ltd. Airgap structure and method of manufacturing thereof
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
CN104157654B (zh) 2014-08-15 2017-06-06 中国科学院微电子研究所 三维存储器及其制造方法
TWI542055B (zh) 2014-08-25 2016-07-11 力晶科技股份有限公司 三維記憶體的陣列結構及其製造方法
US9691884B2 (en) 2014-08-26 2017-06-27 Sandisk Technologies Llc Monolithic three dimensional NAND strings and methods of fabrication thereof
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US20160268283A1 (en) * 2015-03-12 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
CN106571367A (zh) 2015-10-12 2017-04-19 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
US9659930B1 (en) 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953717B2 (en) 2016-03-31 2018-04-24 Sandisk Technologies Llc NAND structure with tier select gate transistors
US9978768B2 (en) * 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
KR20180012640A (ko) 2016-07-27 2018-02-06 삼성전자주식회사 수직형 메모리 소자 및 이의 제조방법
US9875929B1 (en) 2017-01-23 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device with annular blocking dielectrics and discrete charge storage elements and method of making thereof
CN106876403A (zh) 2017-03-07 2017-06-20 长江存储科技有限责任公司 一种三维存储器及其制作方法
CN106920796B (zh) 2017-03-08 2019-02-15 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
TWI632645B (zh) 2017-03-09 2018-08-11 旺宏電子股份有限公司 立體記憶體元件及其製作方法
US9978772B1 (en) 2017-03-14 2018-05-22 Micron Technology, Inc. Memory cells and integrated structures
US9985049B1 (en) * 2017-04-28 2018-05-29 Micron Technology, Inc. Arrays of elevationally-extending strings of memory cells and methods of forming memory arrays
KR102310232B1 (ko) 2017-05-31 2021-10-06 어플라이드 머티어리얼스, 인코포레이티드 3d-nand 디바이스들에서의 워드라인 분리를 위한 방법들
CN109326608B (zh) 2017-07-31 2020-10-16 旺宏电子股份有限公司 三维叠层半导体结构的制造方法及其制得的结构
CN107946310B (zh) 2017-11-16 2021-01-01 长江存储科技有限责任公司 一种采用气隙作为介电层的3d nand闪存制备方法及闪存
CN108598085A (zh) 2018-06-21 2018-09-28 长江存储科技有限责任公司 三维存储器及其制备方法、在凹陷结构上制作漏极的方法
US10741576B2 (en) 2018-08-20 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device containing drain-select-level air gap and methods of making the same
CN109300902A (zh) 2018-09-28 2019-02-01 长江存储科技有限责任公司 3d存储器件
CN109346474B (zh) 2018-10-16 2020-07-10 长江存储科技有限责任公司 三维存储器以及形成三维存储器的方法
US10700090B1 (en) 2019-02-18 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having curved memory elements and methods of making the same
CN110896670B (zh) 2019-03-29 2021-06-08 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896671B (zh) 2019-03-29 2021-07-30 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110914985B (zh) 2019-03-29 2021-04-27 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110047839B (zh) 2019-03-29 2020-05-19 长江存储科技有限责任公司 3d nand闪存及制备方法
CN110914986B (zh) 2019-03-29 2021-05-14 长江存储科技有限责任公司 三维存储器件及其制造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012146773A (ja) * 2011-01-11 2012-08-02 Hitachi Kokusai Electric Inc 不揮発性半導体記憶装置およびその製造方法
US20160086972A1 (en) * 2014-08-26 2016-03-24 SanDisk Technologies, Inc. Monolithic three-dimensional nand strings and methods of fabrication thereof
US20160268292A1 (en) * 2015-03-13 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing the same
US20170278859A1 (en) * 2016-03-25 2017-09-28 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US20180219017A1 (en) * 2017-02-01 2018-08-02 Micron Technology, Inc. Nand memory arrays

Also Published As

Publication number Publication date
CN110914985A (zh) 2020-03-24
CN110914985B (zh) 2021-04-27
US20210225874A1 (en) 2021-07-22
US11581332B2 (en) 2023-02-14
US11004861B2 (en) 2021-05-11
US20200312872A1 (en) 2020-10-01

Similar Documents

Publication Publication Date Title
TWI724512B (zh) 三維記憶體及其製造方法
CN110896671B (zh) 三维存储器件及其制造方法
CN110914986B (zh) 三维存储器件及其制造方法
CN110896670B (zh) 三维存储器件及其制造方法
CN110914985B (zh) 三维存储器件及其制造方法
TWI718637B (zh) 三維記憶體及其製造方法
EP3878013B1 (en) Three-dimensional memory devices and fabrication methods thereof
TWI784192B (zh) 三維記憶體及其製造方法
TWI749360B (zh) 三維記憶體及其製造方法
TWI715164B (zh) 三維記憶體及其製造方法
US20210296361A1 (en) Three-dimensional memory devices and fabrication methods thereof
CN110896672B (zh) 三维存储器件及其制造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210806

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230411

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230608

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230616

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20230825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240423