JP2015034987A - 気体環境中のフォトレジストをレーザ処理する方法 - Google Patents

気体環境中のフォトレジストをレーザ処理する方法 Download PDF

Info

Publication number
JP2015034987A
JP2015034987A JP2014143920A JP2014143920A JP2015034987A JP 2015034987 A JP2015034987 A JP 2015034987A JP 2014143920 A JP2014143920 A JP 2014143920A JP 2014143920 A JP2014143920 A JP 2014143920A JP 2015034987 A JP2015034987 A JP 2015034987A
Authority
JP
Japan
Prior art keywords
gas
photoresist layer
processing
range
molecular
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014143920A
Other languages
English (en)
Other versions
JP5833196B2 (ja
Inventor
ザフィロポウロ、ダブリュー、アーサー
W Zafiropoulo Arthur
ハウリーラック、エム、アンドリュー
M Andrew Hawryluk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ultratech Inc
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Priority to CN201410586452.2A priority Critical patent/CN105261559A/zh
Publication of JP2015034987A publication Critical patent/JP2015034987A/ja
Application granted granted Critical
Publication of JP5833196B2 publication Critical patent/JP5833196B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)

Abstract

【課題】パターン化製品ウエハにおいて、表面を有するフォトレジスト層のエッチング耐性及び線境界粗さのうちの少なくとも一つを改善する方法を提供する。
【解決手段】a)トリメチルアルミニウムガス、四塩化チタンガス、及びジエチル亜鉛ガスからなる群から選択される少なくとも1つの第1の処理ガスに、フォトレジスト層を曝す工程、b)フォトレジスト層及び第1の処理ガスにレーザを照射し、第1の処理ガスをフォトレジスト層に注入させる工程であって、フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程、c)フォトレジスト層の周辺から、残余の第1の処理ガスを除去する工程、d)フォトレジスト層を、HOを含む第2の処理ガスに曝す工程、e)フォトレジスト層及び第2の処理ガスにレーザを照射し、HOをフォトレジスト層に注入させる工程とを含む方法。
【選択図】図3

Description

本開示は、フォトレジスト処理に関し、特に、レーザ処理を使用してフォトレジストを処理するためのシステム及び方法、並びに、フォトレジスト特性を改善する気体環境に関する。
フォトレジストは、半導体装置の形成プロセスにおいてシリコンに微細な特徴を形成することに関連して、半導体製造に用いられる感光性材料である。フォトリソグラフィーで用いられるように、シリコンウエハは、フォトレジストで被覆され、フォトリソグラフィー機器に配置される。シリコンウエハに形成される特定のパターンは、マスク及び照射されるマスクに具現化される。マスク画像は、フォトレジストに投影され、フォトレジストは、照射波長に感受性を有する。その後、フォトレジストは現像され、これにより、フォトレジストの露光された部分は、除去され(「ポジ型」フォトレジストの場合)、フォトレジストにはマスクパターンの複写が残る。パターン化フォトレジストは、その後、エッチングされ、フォトレジストの存在下で、シリコンウエハ又は他の材料にパターンを転写する。
理想的には、フォトレジストパターンは、本来2値であり、完全に直角の側壁を有している。さらに、理想的なフォトレジストは、マスク画像を完全に忠実に複製して、完全なエッチング障壁として機能することができる。実際には、フォトレジストの感光性は制限されており、フォトレジストは、ある程度の線境界粗さ(LER)を有し、不完全なエッチング障壁となっている。
フォトレジストの感受性の改善、LERの減少、エッチング耐性の向上のために、努力がなされてきている。一例では、連続侵入合成(SIS)が、トリメチルアルミニウム及び水を用いて、100℃未満の温度で数分間行われ、エッチング耐性を向上させ、LERを減少させる。この処理は、Tsengらの「連続侵入合成による、改良されたポリマー型リソグラフィーレジスト」(J.Mater.Chem.,21,2011年、11722−25頁)(DOI:10.1039/c1jm12461gとしても引用される)によって、刊行物で記述されている。
残念ながら、この処理を実施するには数分の時間を要し、これが、製造ラインにおけるウエハスループットの低下につながる。
本開示の一局面は、パターン化製品ウエハにおいて、表面を有するフォトレジスト層のエッチング耐性及び線境界粗さのうちの少なくとも一つを改善する方法である。本方法は、:a)トリメチルアルミニウム(Al(CH)ガス、四塩化チタン(TiCl)ガス、及びジエチル亜鉛((CZn)ガスからなる群から選択される少なくとも1つの第1の処理ガスに、フォトレジスト層を曝す工程と、;b)前記フォトレジスト層及び前記第1の処理ガスにレーザを照射し、前記第1の処理ガスを前記フォトレジスト層に注入させる工程であって、前記フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程と、;c)前記フォトレジスト層の周辺から、残余の第1の処理ガスを除去する工程と、;d)前記フォトレジスト層を、HOを含む第2の処理ガスに曝す工程と、;e)前記フォトレジスト層及び第2の処理ガスにレーザを照射し、前記HOを前記フォトレジスト層に注入させる工程であって、前記フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程と、を含む。
本開示の別の局面は、上述の方法であって、前記レーザを照射することが、前記フォトレジスト層の表面上でレーザ光線を走査することを含む。
本開示の別の局面は、上述の方法であって、前記走査することは、前記レーザ光線を動かすことか、前記パターン化製品ウエハを動かすことか、前記レーザ光線及び前記パターン化製品ウエハの両方を動かすことかの何れかを含む。
本開示の別の局面は、上述の方法であって、前記レーザ光線は、前記フォトレジスト層の表面で線画像を形成する。
本開示の別の局面は、上述の方法であって、前記線画像は、1ms≦τ≦100msの範囲の滞留時間τを有する。
本開示の別の局面は、上述の方法であって、前記線画像は、0.2mm≦W≦2mmの範囲の幅W、及び、10mm≦L≦100mmの範囲の長さLを有する。
本開示の別の局面は、上述の方法であって、前記線画像は、20mm/s≦v≦5,000mm/sの範囲の走査速度vを有する。
本開示の別の局面は、上述の方法であって、前記レーザ光線は、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する。
本開示の別の局面は、上述の方法であって、前記パターン化製品ウエハは、処理チャンバの内部に保持される。
本開示の別の局面は、上述の方法であって、処理された前記パターン化製品ウエハをエッチングすることをさらに含む。
本開示の別の局面は、上述の方法であって、工程a)から工程e)は、30秒から120秒の間のウエハ処理時間で、ウエハ全体に対して行われる。
本開示の別の局面は、上述の方法であって、工程a)から工程e)は、1回以上繰り返され、各工程e)の後に、フォトレジスト層の周辺から前記第2の処理ガスを除去する工程が追加される。
本開示の別の局面は、上述の方法であって、前記製品ウエハは、処理チャンバの内部に存在し、前記フォトレジスト層の周辺から前記第1の処理ガスを除去することは、前記処理チャンバ内部から前記第1の処理ガスを排出することと、前記処理チャンバ内部を不活性ガスでパージすることのうちの少なくとも一つを含む。
本開示の別の局面は、処理チャンバの内部にあり、表面を有するパターン化フォトレジスト層を有する製品ウエハを処理する方法であって、エッチング耐性及び線境界粗さのうちの少なくとも一つを改善する。本方法は、a)第1の分子処理ガスに、パターン化フォトレジスト層の表面を曝す工程と、;b)前記パターン化フォトレジスト層の表面にレーザ光線を走査し、前記パターン化フォトレジスト層に第1の分子処理ガスの分子を注入させる工程であって、前記パターン化フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる、工程と、;c)前記処理チャンバの内部から、残余の第1の分子処理ガスを除去する工程と、;d)前記パターン化フォトレジスト層を、第2の分子処理ガスに曝し、該第2の分子処理ガスに対して工程b)を繰り返す工程と、を含み、前記第1の分子処理ガスは、トリメチルアルミニウム(Al(CH)ガス、四塩化チタン(TiCl)ガス、ジエチル亜鉛((CZn)ガスからなる群から選択される少なくとも一つであり、前記第2の分子処理ガスは、HOを含む。
本開示の別の局面は、上述の方法であって、前記レーザ光線は、パターン化フォトレジスト層の表面で線画像を形成し、該線画像は、1ms≦τ≦100msの範囲の滞留時間τを有する。
本開示の別の局面は、上述の方法であって、前記線画像は、0.2mm≦W≦2mmの範囲の幅W、及び、10mm≦L≦100mmの範囲の長さLを有する。
本開示の別の局面は、上述の方法であって、前記線画像は、20mm/s≦v≦5,000mm/sの範囲の走査速度vを有する。
本開示の別の局面は、上述の方法であって、前記レーザ光線は、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する。
本開示の別の局面は、上述の方法であって、処理された前記パターン化製品ウエハをエッチングすることをさらに含む。
本開示の別の局面は、上述の方法であって、工程a)から工程d)は、30秒から120秒の間のウエハ処理時間で、ウエハ全体に対して行われる。
本開示の別の局面は、上述の方法であって、前記処理チャンバの内部から前記第1の分子処理ガスを除去することは、前記処理チャンバ内部から前記第1の分子処理ガスを排出することと、前記処理チャンバ内部を不活性ガスでパージすることのうちの少なくとも一つを含む。
本開示の別の局面は、処理チャンバの内部に存在し、表面を有するパターン化フォトレジスト層を有する製品ウエハを処理する方法であって、エッチング耐性及び線境界粗さのうちの少なくとも一つを改善する。本方法は、:a)第1及び第2の分子処理ガスを連続して前記処理チャンバの内部に導入し、前記第1又は第2の分子処理ガスを、他方の第1又は第2の分子処理ガスが導入される前に、前記処理チャンバの内部から除去することを含む工程と、;b)前記第1及び第2の分子ガスそれぞれについて、前記パターン化フォトレジスト層の表面をレーザ走査し、前記パターン化フォトレジスト層に前記第1及び第2の分子ガスを連続的に注入させる工程と、;c)工程a)及び工程b)を複数回繰り返す工程と、を含み、前記第1の分子ガスは、トリメチルアルミニウム(Al(CH)、四塩化チタン(TiCl)、及びジエチル亜鉛((CZn)からなる群から選択される少なくとも一つであり、前記第2の分子ガスは、水蒸気を含む。
本開示の別の局面は、上述の方法であって、前記レーザ走査は、線画像を形成するレーザ光線を使用して行われ、該線画像は、1ms≦τ≦100msの範囲の滞留時間τを有する。
本開示の別の局面は、上述の方法であって、前記線画像は、0.2mm≦W≦2mmの範囲の幅W、及び、10mm≦L≦100mmの範囲の長さLを有する。
本開示の別の局面は、上述の方法であって、前記線画像は、20mm/s≦v≦5,000mm/sの範囲の走査速度vを有する。
本開示の別の局面は、上述の方法であって、前記レーザ光線は、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する。
本開示の別の局面は、上述の方法であって、前記処理チャンバの内部から前記第1又は第2の分子処理ガスを除去することは、i)前記処理チャンバ内部から前記第1又は第2の分子処理ガスを排出すること、及び、ii)前記処理チャンバ内部を不活性ガスでパージすることの少なくとも一つを含む。
本開示の別の局面は、処理チャンバの内部に存在し、表面を有するパターン化フォトレジスト層を有する製品ウエハを処理する方法であって、エッチング耐性及び線境界粗さのうちの少なくとも一つを改善する。本方法は、:a)分子を含む第1の処理ガスに、パターン化フォトレジスト層の表面を曝す工程であって、前記第1の処理ガス分子は、トリメチルアルミニウム(Al(CH)ガス、四塩化チタン(TiCl)ガス、及びジエチル亜鉛((CZn)ガスからなる分子の群から選択される少なくとも一つである工程と、;b)前記パターン化フォトレジスト層の表面をレーザ光線で走査し、前記前記パターン化フォトレジスト層に前記第1の処理ガスの分子を注入させる工程であって、前記パターン化フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程とを含む。
本開示の別の局面は、上述の方法であって、工程b)の後に、:c)前記処理チャンバの内部から、前記第1の処理ガスを除去する工程と、;d)前記パターン化フォトレジスト層を、HO分子を含む第2の処理ガスに曝す工程と、;e)前記パターン化フォトレジスト層の表面にレーザ光線を走査し、前記HO分子を前記パターン化フォトレジスト層に注入させる工程とをさらに含む。
本開示の別の局面は、上述の方法であって、前記処理チャンバの内部から前記第1の分子処理ガスを除去することは、i)前記処理チャンバ内部から前記第1又は第2の分子処理ガスを排出すること、及び、ii)前記処理チャンバ内部を不活性ガスでパージすることの少なくとも一つを含む。
本開示の別の局面は、上述の方法であって、レーザ光線の走査は、1ms≦τ≦100msの範囲の滞留時間τを有する線画像を形成する。
本開示の別の局面は、上述の方法であって、前記線画像は、0.2mm≦W≦2mmの範囲の幅W、10mm≦L≦100mmの範囲の長さL、20mm/s≦v≦5,000mm/sの範囲の走査速度v、及び、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する。
本開示の別の方法は、上述の方法であって、工程a)から工程b)は、30秒から120秒の間のウエハ処理時間で、製品ウエハ全体に対して行われる。
さらなる特徴及び利点は、以下の詳細な説明に明記されるであろう。また、それらの一部は詳細な説明の記載内容から当業者にとって直ちに明白となるか、詳細な説明、特許請求の範囲、添付図面に記載された実施形態を実施することによって認識される。上記の要約及び下記の詳細な説明に関する記載は、単なる例示であって、特許請求の範囲に記載されている本発明の本質及び特徴を理解するための概略または枠組みを提供するものであることを理解すべきである。
添付図面は、さらなる理解を提供するために含まれており、本明細書の一部を構成すると共に本明細書の一部に組み込まれる。図面は、1または複数の実施形態を示しており、詳細な説明と共に種々の実施形態の原理や動作を説明する役割を担う。このように、本開示は、添付図面と共に以下に示す詳細な説明からより完全に理解されることになるであろう。
図1は、フォトレジスト層で被覆されるシリコン基板を含む製品ウエハの一例を示す断面図である。 図2は、図1に示す製品ウエハの上面図であり、製品ウエハがどのように照射野および各照射野でのパターンを含むかを示している。 図3は、エッチング耐性及びLERのうちの少なくとも一つを改善するためのパターン化製品ウエハの処理方法を実施するために用いられるレーザ処理システムの一例を示す模式図である。 図4は、フォトレジスト層の表面において、幅W及び長さLを有する線画像を形成するレーザ光線の一例を示す立面図である。 図5は、処理工程の一つの間にレーザ走査を受けるパターン化製品ウエハのフォトレジスト層の平面図である。 図6は、図3に類似した図であって、マイクロチャンバの形態での処理チャンバの代替の実施形態を示す図である。
〔詳細な説明〕
以降、本開示の様々な実施形態、および、添付の図面に示される複数の例について詳述する。可能な限り、同一または類似の部分の図では、同一または類似の参照番号および参照符号が用いられる。図面には決まった縮尺がなく、当業者であれば、図面は本発明の主要な部分を説明するために簡略化されていることに気づくであろう。
下記の特許請求の範囲の記載は、発明の詳細な説明に組み込まれると共にその一部を構成する。
本明細書で言及されるいずれの刊行物または特許文献の全開示は、参照により組み込まれる。
いくつかの図面において、参考のためにいくつかの座標が描かれているが、これは特定の方向および配置方向を限定するものではない。
図1は、一例の製品ウエハ10の断面図であり、図2は、一例の製品ウエハ10の上面図である。製品ウエハ10は、上面22を有するシリコン基板20を備える。基板20の上面22は、上面32及び厚さTHを有するフォトレジスト30の層で被覆されている。図2を参照すると、製品ウエハ10は、照射野(領域)40の配列を含む。照射野40は、領域ごとの、あるいは、多数の領域基準での、フォトレジスト30のフォトリソグラフィー露光によって形成される。一例では、照射野40は、後に得られる集積回路(IC)チップ44(第1の挿入図)の境界を規定する小区域を含む。集積回路チップ44は、製品ウエハ10の製造が完了したときに形成される。図示される製品ウエハ10は、IC製造処理中の半導体ウエハを表している。
フォトレジスト30は、各領域40において、フォトリソグラフィー画像システム又は当該産業においてしばしば称される「装置」を使用して、フォトレジスト30の層に画像化された、フォトリソグラフィーレチクル上のパターン特徴の像を記録する。一例のフォトリソグラフィー装置は、米国特許第6,879,383号明細書に例示的に記載されている。以上のようにして、製品ウエハ10は、全ての領域40が形成されたときに「露光された(曝された)」と言われる。
露光された製品ウエハ10は、その後、未露光のフォトレジスト30(「ポジ型」フォトレジストの場合)を除去する現像処理を受ける。その結果、各領域40は、図1の第2の挿入図に示されるように、同一の3次元フォトレジストパターン50を有するようになる。処理のこの段階で、製品ウエハ10は、「パターン化製品ウエハ」と呼ばれる。
通常の次の工程では、パターン化製品ウエハ10は、エッチング処理を受け、フォトレジストパターン50がその下層のシリコン基板20までエッチングされる。これにより、エッチング停止構造として機能するフォトレジストパターン50が完成する。
上述したように、フォトレジスト30は、線境界粗さ(LER)及びエッチング耐性に関して、特に性能限界を有している。
図3は、パターン化製品ウエハ10を処理するための一例のレーザ処理システム100の模式的な断面図である。当該処理は、パターン化製品ウエハ10を処理しない場合と比較して、LER及びエッチング耐性のうちの少なくとも何れかに関して、フォトレジスト30の性能を改善する。
レーザ処理ステム100は、処理チャンバ110を備える。処理チャンバ110は、パターン化製品ウエハ10に適合するような大きさの内部112を有する。処理チャンバ110は、頂壁114を有する。頂壁114は、以下で説明するように、窓部116を備える。窓部116は、処理波長λを含む任意の波長範囲Δλを透過する。一例では、窓部116は、溶融石英で作られている。レーザ処理システム100は、周囲環境104内に置かれる。処理チャンバ110は、以下で説明するように、フォトレジスト30を処理するための処理チャンバ110の内部112において、制御された環境を提供するように構成されている。
パターン化製品ウエハ10は、ウエハ台130によって処理チャンバ110の内部112に支持されている。一例では、ウエハ台130は、x、y、及びz方向に可動であり、必要に応じて、x、y、及びz軸に対して回転することもできる。ウエハ台130は、ウエハ台駆動部134に操作可能に接続されている。
また、レーザ処理システム100は、少なくとも1つの処理ガス源150A及び150Bを備えている。少なくとも1つの処理ガス源150A及び150Bは、処理チャンバ110の内部112に流体接続されている、少なくとも1つの処理ガス源150A及び150Bを備えている。処理ガス源150A及び150Bは、少なくとも1つの処理ガス152A及び152Bを放出する。一例では、少なくとも1つの処理ガス152A及び152Bは、分子ガスである。図3の例示的なレーザ処理システム100では、例示のために、第1及び第2の処理ガス152A及び152Bを放出する第1及び第2の処理ガス源150A及び150Bが示されている。一例では、第1の処理ガス152Aは、トリメチルアルミニウム(Al(CH)、四塩化チタン(TiCl)、及びジエチル亜鉛((CZn)からなる群から選択される少なくとも一つの分子ガスである。また、例示的な実施形態において、第2の処理ガス152Bは、水蒸気、すなわち、HOガスを含む。一例では、水蒸気は、空気、あるいは、純粋な水蒸気ではない他のガスで構成することもできる。
レーザ処理システム100は、真空システム160を備える。真空システム160は、処理チャンバ110の内部112に流体接続されている。真空システム160は、以下で説明するように、パターン化製品ウエハ10の処理中の任意の時間に、処理チャンバ110の内部112からあらゆるガスを除去するために用いられる。
レーザ処理システム100は、不活性ガス源170も備えている。不活性ガス源170は、処理チャンバ100の内部112に流体接続され、第1の処理ガス152A又は第2の処理ガス152Bのパージを行うために不活性ガス172を供給することができる。一例では、不活性ガス172は、窒素である。不活性ガスは、処理ガス152A又は152Bをフォトレジスト30の層の周辺から除去し、処理ガス152A又は152Bがフォトレジスト30の層と反応できないようにするのに役立つ。
レーザ処理システム100は、レーザシステム180も備えている。レーザシステム180は、処理波長λを有するレーザ光線182を生成する。一例では、レーザ光線182は、矢印ARで図示されるように、走査可能である。レーザ処理システム100は、ビーム処理光学(図示せず)も備えており、該ビーム処理光学は、ビーム走査素子及び部品(例えば、走査ミラー)を備えていてもよい。
図4は、以下で説明するように、レーザ光線182がフォトレジスト30の層の上面32と交差するときに、レーザ光線182によって形成される線画像182Lの一例の立面図である。線画像182Lは、幅W及び長さLを有している。線画像182Lの幅W及び長さLは、フォトレジスト30の層の上面32の法線Nに対して測定された照射光の入射角度αに依存する。
一例では、レーザシステム180は、表1にまとめられた以下のパラメータを有するレーザ光線182を生成する。
Figure 2015034987
表1では、滞留時間τは、フォトレジスト30の層の上面32上のある点に線画像182Lが存在する時間の長さである。走査速度vは、線画像182Lがフォトレジスト30の層の上面32を移動する際の速度である。図5は、フォトレジスト30の層の上面32を線画像182Lがどのように移動するかを示す、パターン化製品ウエハ10の上面図である。フォトレジスト30の層の上面32に対する線画像182Lの動きは、(走査する)レーザ光線182を動かすことによって、ウエハ台130を動かすことによって、あるいは、これらの動きを組み合わせることによって、達成することができる。一例では、レーザ光線182の走査は、前後に動かす方法、例えば、犂耕体方式、又は、ラスター走査方式で行われる。
レーザ処理システム100は、制御部200も備えている。制御部200は、処理ガス源150A及び150Bのうちの少なくとも一つ、ウエハ台駆動部134、真空システム160、不活性ガス源170、及び、レーザシステム180に、操作可能に接続され、パターン化製品ウエハ10を処理する際に、レーザ処理システム100の全体的な操作を制御する。一例では、制御部200は、パーソナルコンピュータ又はワークステーションなどのコンピュータであるか、このようなコンピュータを含んでいる。制御部200は、多数の市販のあらゆるマイクロプロセッサ、そのマイクロプロセッサをハードディスクドライブなどの記憶装置に接続する適切なバスアーキテクチャ、及び、適切な入出力装置(例えば、キーボード及びディスプレイそれぞれ)を備えていることが好ましい。制御部200は、コンピュータ読取り可能な媒体(例えば、メモリ、プロセッサ、あるいは、その両方)において具現化された指令(ソフトウエア)を介してプログラム化され得る。コンピュータ読取り可能な媒体は、パターン化製品ウエハ10の処理を行うために、制御部200に、レーザ処理システム100の種々の機能を実施させる。
パターン化製品ウエハ10を処理するためのレーザ処理システム100の操作において、第1の工程では、真空システム160は、処理チャンバ110の内部112における周囲ガスを除去するように操作され、処理を開始するための初期条件を成立させる。ここで、例えば、処理チャンバ110の内部112は、100ppm(部/ミリオン)未満の酸素を含んでいる。一旦初期条件が確立されると、その後、第2の工程において、第1の処理ガス152Aは処理チャンバ110の内部112に導入される。そこで、第1の処理ガス152Aは、フォトレジスト30の層の上面32と適合する。
続いて、第3の工程では、レーザ光線182は、パターン化製品ウエハ10上を走査(例えば、ラスター走査)される。すなわち、線画像182Lは、フォトレジスト30の層の上面32上を走査する。一例では、このレーザ走査の操作は、フォトレジスト30の層の温度を、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させる。これにより、第1の処理ガス152Aの分子がフォトレジスト30の層に注入する。表1で説明された例示的なパラメータでは、パターン化処理ウエハ10全体を走査するのに、約30秒から約120秒かかる。本明細書では、この時間を「ウエハ処理時間」と呼ぶ。
本方法及び処理のこの部分は、原子層蒸着(ALD)法と同様であり、これにより、材料の層は表面に堆積され、その後、反応して、下層の体積の一部だけでなく、表面に影響を与える。本発明の場合、トリメチルアルミニウムの注入が、フォトレジスト30のエッチング耐性を改善することが示されている。しかし、上述したように、注入を行うための従来技術の処理は、低温でゆっくりと実施される。本願では、フォトレジスト30の体積への注入は、ほんのミリ秒の間に行われる。
本発明では、レーザ光線線画像182Lの滞留時間τを短くすることによって、フォトレジスト30が流動することを防ぎ、これによりフォトレジストパターン50を維持することに着目すべきである。そのため、レーザ光線182は、フォトレジスト30の表面温度を上昇させ、フォトレジスト30の層の上面32上あるいはフォトレジスト30のバルク(体積)中に、ALD型材料を選択的に堆積させるように機能する。
レーザ光線線画像182Lがフォトレジスト30の層の上面32を走査すると(図5参照)、その後第4の工程において、フォトレジスト30の層の周辺に残っている第1の処理ガス152Aは除去される。これは、真空システム160を用いて処理チャンバ100の内部112を排気することによって達成される。その代わりに、あるいは、この真空排気と組み合わせて、第4の工程は、不活性ガス源170を活性化させること、及び、不活性ガス172で処理チャンバ110の内部を洗い流すことを含めてもよい。
その後、(使用される第1の処理ガス152Aの種類によって、選択的となり得る)第5の工程において、第2の処理ガス152Bが、処理チャンバ110の内部に導入される。上述したように、一例では、第2の処理ガス152Bは、分子ガスであり、水蒸気(HO)を含む。
次に、第6の工程において、レーザ光線線画像182Lは、フォトレジスト30の層の上面32上を走査し、フォトレジスト30の層へHO分子を注入させる。第7の工程では、第2の処理ガス152Bが、上述した方法の一つにおいて、処理チャンバ110の内部112から除去される。
第2から第7の工程は、LER及びエッチング耐性の一方又は両方において、所望とする程度の改善を得るために必要とされる回数と同じ程度に多数回繰り返される。
例示的な実施形態では、以下の第1及び第2の処理ガス152A及び152Bを使用することができる。:1)アルミニウム又はサファイア、Alを注入するためのトリメチルアルミニウム及び水蒸気;2)Ti又はTiOを注入するための四塩化チタン及び水蒸気;及び3)亜鉛又はZnOを注入するためのジエチル亜鉛及び水蒸気。
水蒸気の形態での第2の処理ガス152Bは、金属酸化物を生成するために用いられる。一例では、トリメチルアルミニウム、四塩化チタン、又は、ジエチル亜鉛のフォトレジスト30への注入は、第2の処理ガス152Bを導入することなく行われ、エッチング障壁を形成する。
図6は、図3に類似した図であり、レーザ処理システム100の代替的な実施形態を示す。この実施形態では、処理チャンバ110は、名称が「マイクロチャンバ」である米国特許第5,997,963号、名称が「ガスカーテンを有する可動式マイクロチャンバ」である米国特許出願番号第13/690,132号などに開示されているようなマイクロチャンバの形態である。ウエハ台130は、チャック132を支持しており、続いて、チャック132はパターン化製品ウエハ10を支持していることが示されている。プラテン136は、可動式のウエハ台130を移動可能に支持している。頂壁114は、レーザ光線182を通過させるような寸法を有する窓116を備えている。一例では、レーザ光線182は、法線入射以外の角度でフォトレジスト30に入射する。
一例では、周辺環境104中の周囲ガス(酸素など)が処理チャンバ110の内部112に入ることを防ぐために、エアカーテン220が用いられている。それ以外の方法では、処理チャンバ110は、周囲環境から密閉されてはいない。マイクロチャンバの処理チャンバ110では、内部112に存在する元のガスは、特定の処理ガス(すなわち、第1処理ガス152A又は第2の処理ガス152B)で内部112をあふれさせることによって排出される。一例では、不活性ガス源170からの不活性ガス112は、元のガス(例えば、空気)を排出させるため、あるいは、処理工程間の処理ガス152A又は152Bを流し出すために使用される。
パターン化製品ウエハ10が、上述した処理の一つを用いて処理されると、このような未処理のパターン化製品ウエハと比較して、エッチング耐性又はLERの少なくとも一方を改善する。この時点で、処理されたパターン化製品ウエハ10は、半導体装置を形成するための方法にしたがって、標準的な半導体製造のエッチング処理を受けることができる。
当業者には明白であるが、添付の特許請求の範囲に記載される本開示の精神又は範囲を逸脱することなく、ここに記述される本開示の好ましい実施形態に対して様々な修正を加えることができる。したがって、本開示は、添付の特許請求の範囲およびその均等範囲内において行われる本開示の修正および変更を包含する。

Claims (33)

  1. パターン化製品ウエハにおいて、表面を有するフォトレジスト層のエッチング耐性及び線境界粗さのうちの少なくとも一つを改善する方法であって、:
    a)トリメチルアルミニウム(Al(CH)ガス、四塩化チタン(TiCl)ガス、及びジエチル亜鉛((CZn)ガスからなる群から選択される少なくとも1つの第1の処理ガスに、フォトレジスト層を曝す工程と、;
    b)前記フォトレジスト層及び前記第1の処理ガスにレーザを照射し、前記第1の処理ガスを前記フォトレジスト層に注入させる工程であって、前記フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程と、;
    c)前記フォトレジスト層の周辺から、残余の第1の処理ガスを除去する工程と、;
    d)前記フォトレジスト層を、HOを含む第2の処理ガスに曝す工程と、;
    e)前記フォトレジスト層及び第2の処理ガスにレーザを照射し、前記HOを前記フォトレジスト層に注入させる工程であって、前記フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程と、
    を含む方法。
  2. 前記レーザを照射することは、前記フォトレジスト層の表面上でレーザ光線を走査することを含む、請求項1に記載の方法。
  3. 前記走査することは、前記レーザ光線を動かすことか、前記パターン化製品ウエハを動かすことか、又は、前記レーザ光線及び前記パターン化製品ウエハの両方を動かすことかの何れかを含む、請求項2に記載の方法。
  4. 前記レーザ光線は、前記フォトレジスト層の表面で線画像を形成する、請求項2又は3に記載の方法。
  5. 前記線画像は、1ms≦τ≦100msの範囲の滞留時間τを有する、請求項4に記載の方法。
  6. 前記線画像は、0.2mm≦W≦2mmの範囲の幅W、及び、10mm≦L≦100mmの範囲の長さLを有する、請求項4又は5に記載の方法。
  7. 前記線画像は、20mm/s≦v≦5,000mm/sの範囲の走査速度vを有する、請求項4から6の何れか1項に記載の方法。
  8. 前記レーザ光線は、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する、請求項2から7の何れか1項に記載の方法。
  9. 前記パターン化製品ウエハは、処理チャンバの内部に保持される、請求項1から8の何れか1項に記載の方法。
  10. 処理された前記パターン化製品ウエハをエッチングすることをさらに含む、請求項1から9の何れか1項に記載の方法。
  11. 工程a)から工程e)は、30秒から120秒の間のウエハ処理時間で、ウエハ全体に対して行われる、請求項1から10の何れか1項に記載の方法。
  12. 工程a)から工程e)は、1回以上繰り返され、各工程e)の後に、フォトレジスト層の周辺から前記第2の処理ガスを除去する工程を追加する、請求項1から11の何れか1項に記載の方法。
  13. 前記製品ウエハは、処理チャンバの内部に存在し、前記フォトレジスト層の周辺から前記第1の処理ガスを除去することは、前記処理チャンバ内部から前記第1の処理ガスを排出することと、前記処理チャンバ内部を不活性ガスでパージすることのうちの少なくとも一つを含む、請求項1から12の何れか1項に記載の方法。
  14. 処理チャンバの内部にあり、表面を有するパターン化フォトレジスト層を有する製品ウエハを処理する方法であり、エッチング耐性及び線境界粗さのうちの少なくとも一つを改善する方法であって、:
    a)第1の分子処理ガスに、パターン化フォトレジスト層の表面を曝す工程と、;
    b)前記パターン化フォトレジスト層の表面にレーザ光線を走査し、前記パターン化フォトレジスト層に第1の分子処理ガスの分子を注入させる工程であって、前記パターン化フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程と、;
    c)前記処理チャンバの内部から、残余の第1の分子処理ガスを除去する工程と、;
    d)前記パターン化フォトレジスト層を第2の分子処理ガスに曝し、該第2の分子処理ガスに対して工程b)を繰り返す工程と、;を含み、
    前記第1の分子処理ガスは、トリメチルアルミニウム(Al(CH)ガス、四塩化チタン(TiCl)ガス、ジエチル亜鉛((CZn)ガスからなる群から選択される少なくとも一つであり、前記第2の分子処理ガスは、HOを含む、方法。
  15. 前記レーザ光線は、パターン化フォトレジスト層の表面で線画像を形成し、該線画像は、1ms≦τ≦100msの範囲の滞留時間τを有する、請求項14に記載の方法。
  16. 前記線画像は、0.2mm≦W≦2mmの範囲の幅W、及び、10mm≦L≦100mmの範囲の長さLを有する、請求項15に記載の方法。
  17. 前記線画像は、20mm/s≦v≦5,000mm/sの範囲の走査速度vを有する、請求項15又は16に記載の方法。
  18. 前記レーザ光線は、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する、請求項14から17の何れか1項に記載の方法。
  19. 処理された前記パターン化製品ウエハをエッチングすることをさらに含む、請求項14から18の何れか1項に記載の方法。
  20. 工程a)から工程d)は、30秒から120秒の間のウエハ処理時間で、ウエハ全体に対して行われる、請求項14から19の何れか1項に記載の方法。
  21. 前記処理チャンバの内部から前記第1の分子処理ガスを除去することは、前記処理チャンバ内部から前記第1の分子処理ガスを排出することと、前記処理チャンバ内部を不活性ガスでパージすることのうちの少なくとも一つを含む、請求項14から20の何れか1項に記載の方法。
  22. 処理チャンバの内部に存在し、表面を有するパターン化フォトレジスト層を有する製品ウエハを処理する方法であり、エッチング耐性及び線境界粗さのうちの少なくとも一つを改善する方法であって、:
    a)第1及び第2の分子処理ガスを連続して前記処理チャンバの内部に導入し、前記第1又は第2の分子処理ガスを、他方の第1又は第2の分子処理ガスが導入される前に、前記処理チャンバの内部から除去することを含む工程と、;
    b)前記第1及び第2の分子ガスそれぞれについて、前記パターン化フォトレジスト層の表面をレーザ走査し、前記パターン化フォトレジスト層に前記第1及び第2の分子ガスを連続的に注入させる工程と、;
    c)工程a)及び工程b)を複数回繰り返す工程と、を含み、
    前記第1の分子ガスは、トリメチルアルミニウム(Al(CH)、四塩化チタン(TiCl)、及びジエチル亜鉛((CZn)からなる群から選択される少なくとも一つであり、前記第2の分子ガスは、水蒸気を含む、方法。
  23. 前記レーザ走査は、線画像を形成するレーザ光線を使用して行われ、該線画像は、1ms≦τ≦100msの範囲の滞留時間τを有する、請求項22に記載の方法。
  24. 前記線画像は、0.2mm≦W≦2mmの範囲の幅W、及び、10mm≦L≦100mmの範囲の長さLを有する、請求項23に記載の方法。
  25. 前記線画像は、20mm/s≦v≦5,000mm/sの範囲の走査速度vを有する、請求項23または24に記載の方法。
  26. 前記レーザ光線は、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する、請求項23から25の何れか1項に方法。
  27. 前記処理チャンバの内部から前記第1又は第2の分子処理ガスを除去することは、i)前記処理チャンバ内部から前記第1又は第2の分子処理ガスを排出すること、及び、ii)前記処理チャンバ内部を不活性ガスでパージすることの少なくとも一つを含む、請求項22から26の何れか1項に記載の方法。
  28. 処理チャンバの内部に存在し、表面を有するパターン化フォトレジスト層を有する製品ウエハを処理する方法であり、エッチング耐性及び線境界粗さのうちの少なくとも一つを改善する方法であって、:
    a)分子を含む第1の処理ガスに、パターン化フォトレジスト層の表面を曝す工程であって、前記第1の処理ガス分子は、トリメチルアルミニウム(Al(CH)ガス、四塩化チタン(TiCl)ガス、及びジエチル亜鉛((CZn)ガスからなる分子の群から選択される少なくとも一つである工程と、;
    b)前記パターン化フォトレジスト層の表面をレーザ光線で走査し、前記前記パターン化フォトレジスト層に前記第1の処理ガスの分子を注入させる工程であって、前記パターン化フォトレジスト層の表面は、+/−5℃の温度均一性を有する300℃から500℃の間の温度に上昇させられる工程と、
    を含む方法。
  29. 工程b)の後に、:
    c)前記処理チャンバの内部から、前記第1の処理ガスを除去する工程と、;
    d)前記パターン化フォトレジスト層を、HO分子を含む第2の処理ガスに曝す工程と、;
    e)前記パターン化フォトレジスト層の表面にレーザ光線を走査し、前記HO分子を前記パターン化フォトレジスト層に注入させる工程と、
    をさらに含む、請求項28に記載の方法。
  30. 前記処理チャンバの内部から前記第1の分子処理ガスを除去することは、i)前記処理チャンバ内部から前記第1又は第2の分子処理ガスを排出すること、及び、ii)前記処理チャンバ内部を不活性ガスでパージすることの少なくとも一つを含む、請求項29に記載の方法。
  31. レーザ光線の走査は、1ms≦τ≦100msの範囲の滞留時間τを有する線画像を形成する、請求項28から30の何れか1項に記載の方法。
  32. 前記線画像は、0.2mm≦W≦2mmの範囲の幅W、10mm≦L≦100mmの範囲の長さL、20mm/s≦v≦5,000mm/sの範囲の走査速度v、及び、50ワット/cm≦P≦150ワット/cmの範囲の出力密度Pを有する、請求項31に記載の方法。
  33. 工程a)から工程b)は、30秒から120秒の間のウエハ処理時間で、製品ウエハ全体に対して行われる、請求項28から32の何れか1項に記載の方法。
JP2014143920A 2013-08-07 2014-07-14 気体環境中のフォトレジストをレーザ処理する方法 Expired - Fee Related JP5833196B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410586452.2A CN105261559A (zh) 2014-07-14 2014-10-28 在气态环境中激光加工光致抗蚀剂的方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/961,655 2013-08-07
US13/961,655 US8986562B2 (en) 2013-08-07 2013-08-07 Methods of laser processing photoresist in a gaseous environment

Publications (2)

Publication Number Publication Date
JP2015034987A true JP2015034987A (ja) 2015-02-19
JP5833196B2 JP5833196B2 (ja) 2015-12-16

Family

ID=52447722

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014143920A Expired - Fee Related JP5833196B2 (ja) 2013-08-07 2014-07-14 気体環境中のフォトレジストをレーザ処理する方法

Country Status (3)

Country Link
US (1) US8986562B2 (ja)
JP (1) JP5833196B2 (ja)
SG (1) SG10201406175TA (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017005251A (ja) * 2015-06-08 2017-01-05 ウルトラテック インク 局在化処理ガス雰囲気を用いるマイクロチャンバレーザ処理システムおよび方法
JP2017092454A (ja) * 2015-09-28 2017-05-25 ウルトラテック インク 高スループットの複数チャンバ原子層堆積システムおよび方法
KR20170111153A (ko) * 2016-03-25 2017-10-12 삼성전자주식회사 반도체 장치 제조 방법
JP2018049105A (ja) * 2016-09-21 2018-03-29 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法及びレプリカモールドの製造方法
JP2018200931A (ja) * 2017-05-26 2018-12-20 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
KR20190094366A (ko) * 2016-12-15 2019-08-13 에이에스엠 아이피 홀딩 비.브이. 기판 상에 구조물을 형성하는 방법
JP2021068911A (ja) * 2021-01-06 2021-04-30 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法及びレプリカモールドの製造方法

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
CN108227412A (zh) * 2016-12-15 2018-06-29 Imec 非营利协会 光刻掩模层
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
EP3444671A1 (en) * 2017-08-18 2019-02-20 IMEC vzw Making a mask layer
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7213642B2 (ja) * 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6356919A (ja) * 1986-08-25 1988-03-11 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション レジストの処理方法
JPH07135170A (ja) * 1994-04-20 1995-05-23 Hitachi Ltd 半導体装置の製造方法
JP2010225899A (ja) * 2009-03-24 2010-10-07 Elpida Memory Inc 半導体装置の製造方法
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2841477A (en) 1957-03-04 1958-07-01 Pacific Semiconductors Inc Photochemically activated gaseous etching method
US4260649A (en) 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4851691A (en) 1982-11-19 1989-07-25 Varian Associates, Inc. Method for photoresist pretreatment prior to charged particle beam processing
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPH0721643B2 (ja) 1986-03-13 1995-03-08 ウシオ電機株式会社 レジスト処理方法
JPS63260028A (ja) 1986-11-19 1988-10-27 Tokyo Ohka Kogyo Co Ltd ホトレジストの熱安定化装置
DE3861522D1 (de) 1987-03-20 1991-02-21 Ushio Electric Inc Behandlungsverfahren fuer photolacke.
JPS63234527A (ja) 1987-03-24 1988-09-29 Ushio Inc レジスト処理方法
JPH0740546B2 (ja) 1987-03-24 1995-05-01 ウシオ電機株式会社 レジスト処理方法
US5648198A (en) 1994-12-13 1997-07-15 Kabushiki Kaisha Toshiba Resist hardening process having improved thermal stability
JP3077648B2 (ja) 1997-11-06 2000-08-14 日本電気株式会社 化学増幅系レジストのパターン形成方法
US6162591A (en) 1998-09-01 2000-12-19 Industrial Technology Research Institute Photolithography process with gas-phase pretreatment
US20020110760A1 (en) 2001-02-09 2002-08-15 Macronix International Co., Ltd. Method for improving hydrophilic character of photoresist and effect of development
US6709986B2 (en) 2001-06-28 2004-03-23 Hynix Semiconductor Inc. Method for manufacturing semiconductor memory device by using photoresist pattern exposed with ArF laser beam
US7125496B2 (en) 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
US6620574B2 (en) 2001-09-12 2003-09-16 Ppg Industries Ohio, Inc. Method of treating photoresists using electrodeless UV lamps
DE102004037949B4 (de) 2004-08-05 2009-04-02 Forschungszentrum Karlsruhe Gmbh Verfahren zur Herstellung von Photonischen Kristallen
US7846644B2 (en) 2007-11-20 2010-12-07 Eastman Kodak Company Photopatternable deposition inhibitor containing siloxane
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6356919A (ja) * 1986-08-25 1988-03-11 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション レジストの処理方法
JPH07135170A (ja) * 1994-04-20 1995-05-23 Hitachi Ltd 半導体装置の製造方法
JP2010225899A (ja) * 2009-03-24 2010-10-07 Elpida Memory Inc 半導体装置の製造方法
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017005251A (ja) * 2015-06-08 2017-01-05 ウルトラテック インク 局在化処理ガス雰囲気を用いるマイクロチャンバレーザ処理システムおよび方法
JP2017092454A (ja) * 2015-09-28 2017-05-25 ウルトラテック インク 高スループットの複数チャンバ原子層堆積システムおよび方法
KR20170111153A (ko) * 2016-03-25 2017-10-12 삼성전자주식회사 반도체 장치 제조 방법
KR102374204B1 (ko) 2016-03-25 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
JP2018049105A (ja) * 2016-09-21 2018-03-29 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法及びレプリカモールドの製造方法
JP2020516047A (ja) * 2016-12-15 2020-05-28 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 基材上に構造を形成する方法
KR20190094366A (ko) * 2016-12-15 2019-08-13 에이에스엠 아이피 홀딩 비.브이. 기판 상에 구조물을 형성하는 방법
JP7048611B2 (ja) 2016-12-15 2022-04-05 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 基材上に構造を形成する方法
KR102543288B1 (ko) * 2016-12-15 2023-06-13 에이에스엠 아이피 홀딩 비.브이. 기판 상에 구조물을 형성하는 방법
JP2018200931A (ja) * 2017-05-26 2018-12-20 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
JP7039865B2 (ja) 2017-05-26 2022-03-23 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
JP2021068911A (ja) * 2021-01-06 2021-04-30 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法及びレプリカモールドの製造方法
JP7082694B2 (ja) 2021-01-06 2022-06-08 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法及びレプリカモールドの製造方法

Also Published As

Publication number Publication date
US20150041431A1 (en) 2015-02-12
JP5833196B2 (ja) 2015-12-16
US8986562B2 (en) 2015-03-24
SG10201406175TA (en) 2015-03-30

Similar Documents

Publication Publication Date Title
JP5833196B2 (ja) 気体環境中のフォトレジストをレーザ処理する方法
US11921434B2 (en) Mask cleaning
TWI827718B (zh) 微影圖案化之方法
US11681226B2 (en) Metal-compound-removing solvent and method in lithography
JP3870182B2 (ja) 露光装置及びデバイス製造方法
JP3974319B2 (ja) エッチング方法
US6096661A (en) Method for depositing silicon dioxide using low temperatures
US7879510B2 (en) Method for quartz photomask plasma etching
US6140255A (en) Method for depositing silicon nitride using low temperatures
JP5516931B2 (ja) レジストパターン形成方法
US9711367B1 (en) Semiconductor method with wafer edge modification
JP2008070883A (ja) 自己マスク層を有するフォトマスクとそのエッチング方法
TW202215162A (zh) 用於圖案化輻射光阻圖案化的整合型乾式製程
KR20160036911A (ko) 가스 분위기에서 포토레지스트를 레이저 처리하는 방법
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control
US9952503B2 (en) Method for repairing a mask
TW201626117A (zh) 基板處理方法、程式、電腦記憶媒體及基板處理系統
JP3187581B2 (ja) X線装置、x線露光装置及び半導体デバイス製造方法
TWI798746B (zh) 製造積體電路裝置的方法與金屬氧化物光阻層
TWI556068B (zh) 在氣體環境中使用雷射之光阻處理方法
US10955746B2 (en) Lithography method with reduced impacts of mask defects
JPS61210634A (ja) 真空内処理装置
JPS60216549A (ja) 半導体装置の製造方法
CN105261559A (zh) 在气态环境中激光加工光致抗蚀剂的方法
JP2008091653A (ja) 塗布・現像処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150804

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150915

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151027

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151028

R150 Certificate of patent or registration of utility model

Ref document number: 5833196

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees