JP2014512672A - 共有ポンプを備えた真空チャンバ - Google Patents

共有ポンプを備えた真空チャンバ Download PDF

Info

Publication number
JP2014512672A
JP2014512672A JP2013556825A JP2013556825A JP2014512672A JP 2014512672 A JP2014512672 A JP 2014512672A JP 2013556825 A JP2013556825 A JP 2013556825A JP 2013556825 A JP2013556825 A JP 2013556825A JP 2014512672 A JP2014512672 A JP 2014512672A
Authority
JP
Japan
Prior art keywords
substrate transfer
chamber
foreline
transfer chamber
conductance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013556825A
Other languages
English (en)
Other versions
JP6034311B2 (ja
Inventor
アニルッダ パル
マーティン ジェフ サリナス
ジャレド アフマド リー
ポール ビー ルター
イマド ヨウシフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014512672A publication Critical patent/JP2014512672A/ja
Application granted granted Critical
Publication of JP6034311B2 publication Critical patent/JP6034311B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Compressors, Vaccum Pumps And Other Relevant Systems (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明の実施形態は、概して、異なるポンピング要件を有し、単一のフォアラインを通して共有ポンピングシステムに接続された真空処理チャンバに関する。一実施形態では、真空処理チャンバは、単一の高コンダクタンスフォアラインに連結された高コンダクタンスポンピングコンジット及び低コンダクタンスポンピングコンジットを含む。別の一実施形態では、複数の不平衡チャンバ群は、最終フォアラインによって共通ポンピングシステムに接続することができる。

Description

関連出願の相互参照
本出願は、2011年3月1日に出願された米国仮特許出願第61/448,024号の利益を主張する。
背景
(分野)
本発明の実施形態は、概して、単一のフォアラインを介してポンピングシステムに結合された異なるポンピング要件を有する真空チャンバに関する。
(関連技術の説明)
真空処理ツール(例えば、とりわけ、集積回路、フラットパネルディスプレイ、及び磁気メディアを製造するために使用されるもの)においては、真空ポンプの使用を通して、真空処理ツールのチャンバ内を真空環境に維持する。様々な真空処理チャンバ内で行われる処理は、異なる圧力及び/又はポンピング要件を有するので、各真空処理チャンバは、典型的には、専用の真空ポンプを有している。こうして、真空ポンプは、異なった環境に特有のポンピング要件を正確に満たすことができないため、従来は同一のポンピング要件を有する真空チャンバ間でのみ共有されている。各真空チャンバ専用のポンプの必要性は、ハードウェアのコストや複数のポンプのための余分な空間要件に関連するコストのみならず、システム全体のコストを増加させる。
したがって、単一の真空ポンプに対して、異なるポンピング要件を有する真空処理領域を使用可能にする機能を備えた改良された処理システムが必要とされている。
概要
本発明は、概して、基板処理用真空チャンバに関する。真空チャンバは、第2基板チャンバから分離した第1基板チャンバと、真空ポンプと、ポンプに結合された高コンダクタンスフォアラインを含む。高コンダクタンスポンピングコンジットは、第1基板チャンバにフォアラインを結合し、低コンダクタンスポンピングコンジットは、第2基板チャンバにフォアラインを結合する。各コンジットのコンダクタンスは、単一のフォアラインに結合された単一のポンプ(又は複数のポンプ)を用いて、各チャンバの異なるポンピング要件を満たすことができるように選択される。
本発明の他の一実施形態は、第1及び第2基板搬送チャンバを有するチャンバ本体を提供する。第1基板搬送チャンバは、第2基板搬送チャンバから分離している。基板搬送チャンバは、真空ポンプとポンプに結合された高コンダクタンスフォアラインを更に含む。高コンダクタンスポンピングコンジットは、第1基板搬送チャンバにフォアラインを結合し、低コンダクタンスポンピングコンジットは、第2基板搬送チャンバにフォアラインを結合する。
本発明の他の一実施形態は、第1基板搬送チャンバを第2基板搬送チャンバから分離した第1チャンバ本体と、第3基板搬送チャンバを第4基板搬送チャンバから分離した第2チャンバ本体を有するシステムを提供する。システムは、真空ポンプと、ポンプに結合された高コンダクタンスフォアラインと、第1基板搬送チャンバに高コンダクタンスフォアラインを結合する第1高コンダクタンスポンピングコンジットと、第3基板搬送チャンバに高コンダクタンスフォアラインを結合する第2高コンダクタンスポンピングコンジットも含む。システムは、高コンダクタンスフォアラインに結合された低コンダクタンスフォアラインと、第2基板搬送チャンバに低コンダクタンスフォアラインを結合する第1低コンダクタンスポンピングコンジットと、第4基板搬送チャンバに低コンダクタンスフォアラインを結合する第2低コンダクタンスポンピングコンジットを更に含む。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本開示の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係る真空チャンバの正面断面図である。 図1の真空チャンバの概略断面図である。 図1の真空チャンバの別の断面平面図である。 本発明の一実施形態に係るポンプシステムを有する真空チャンバの概略図である。 図4のポンプシステムの代替実施形態の部分概略図である。 複数の真空チャンバと1つのポンプシステムを有する一実施形態の正面概略図である。 複数の真空チャンバと1つのポンプシステムを有する代替実施形態の正面概略図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態の要素及び構成を更なる説明なしに他の実施形態に有益に組み込んでもよいと理解される。
詳細な説明
本発明は、互いに分離した複数の基板チャンバを含む基板真空処理システムを提供する。基板チャンバは、基板チャンバが共通の真空ポンプを共有することができるように選択されたコンダクタンスの比を有するように構成されたポンピングコンジットによって各々真空ポンプに結合されている。
図1は、本発明の一実施形態に係る処理システム100の正面断面図である。処理システム100は、概して、内壁108によって第2チャンバ106から分離された第1チャンバ104を有するチャンバ本体102を含む。チャンバ104、106は、共通のチャンバ本体102内に示されているが、チャンバ104、106は、その代わりに別々の本体内に配置されていてもよい。チャンバ本体102を貫通して形成された基板搬送ポート110は、第1及び第2チャンバ104、106へのアクセスを提供する。チャンバ本体102に結合されたドア112は、各々の基板搬送ポート110を選択的に開閉するように動作し、これによって第1及び第2チャンバ104、106からの基板の出し入れを促進する。ファクトリインタフェース114は、チャンバ本体102の一方の側に結合されている。搬送チャンバ116は、チャンバ本体102のもう一方の側に結合されている。図示していないが、複数の処理チャンバが搬送チャンバ116に結合され、これによって基板を処理する。
一実施形態では、第1チャンバ104は、プラズマ除害、アニーリング、インプラント、アッシング等のプラズマ処理チャンバ、又は他のプラズマ処理チャンバである。第1チャンバ104は、シャワーヘッド118、基板支持体120、及びヒータ122を含む。処理中に、ヒータ122は、基板支持体120によって第1チャンバ104内に支持された基板124を加熱する。ガスパネル128は、リモートプラズマソース130を通り、チャンバ本体102に貫通形成されたガス入口126を通って第1チャンバ104内へと向かう処理ガスの流れを制御する。ガス入口126を通って第1チャンバ104に入る処理ガスは、シャワーヘッド118に貫通形成された複数の開口部134を通って横方向に分配され、これによって基板124の表面全体に処理ガスを均一に分配する。シャワーヘッド118及び/又は基板支持体120の一方又は両方に電力を供給し、これによって第1チャンバ104内のガスを励起するために、RF電源132を提供することができる。
第1排気ポート136がチャンバ本体102を貫通して形成されており、これによって処理ガスを第1チャンバ104から除去することができる。第1排気コンジット138は、第1排気ポート136をフォアライン142に結合している。フォアラインは、ポンピングシステム144に結合されている。ポンプピングシステム144は、1以上のポンプを含むことができる。図1に示す実施形態では、拡張可能なカップリング140が第1排気コンジット138をフォアライン142に結合しており、これによって熱膨張及びより大きな公差を許容している。拡張可能なカップリング140は、一般的に、ベローズ150及びフランジ146、148を含む。フランジ146及び148は、第1排気コンジット138及びフォアライン142にそれぞれ密封結合される。ベローズ150は、フランジ146、148に密封結合されるが、シールを損なうことなく、それらの間の相対運動を可能にする。
図示の実施形態では、第2チャンバ106は、例えば、単に隣接するチャンバ及び/又はファクトリインタフェースの真空と大気環境の間で基板を搬送するために使用される、プラズマ処理能力を有していないロードロックチャンバとして構成されている。第2チャンバ106は、オプションで非プラズマ加熱及び/又は冷却要素(図示せず)を有することができる。第2チャンバ106は、一般的に、第2チャンバ106内で基板154を支持するように構成された複数の基板支持体152を含む。第2排気ポート156がチャンバ本体102を貫通して形成されており、第2排気コンジット158に結合されている。第2排気コンジット158は、フレキシブルカップリング140によってフォアライン142及び最終的にはポンプ144に結合されている。第1排気コンジット138及び第2排気コンジット158は、それぞれ異なる所定のコンダクタンスを有するように構成されており、これによって第1及び第2チャンバ104、106のポンピング要件は、単一のポンピングシステム144によって提供することができる。図1に示されるように、第1排気コンジット138は、内部で実行されるプラズマプロセスによって必要とされるように、第1チャンバ104からより大容量のガスを除去可能とするために高コンダクタンスを有するように構成されている。第2排気コンジット158は、第1排気コンジット138のコンダクタンスに対して低いコンダクタンスを有するように構成されており、これによって単一のポンピングシステム144によって単一のフォアライン142を通して、第1及び第2チャンバ104、106から吸引される異なる流量のガスを同時に吸引することができる。
図2は、第2チャンバ106を通るチャンバ本体102の断面図である。上述したように、第2排気ポート156は、第2チャンバ106に流体結合されている。また、第1排気ポート136は、チャンバ本体102を貫通して形成されており、第2チャンバ106及び第2排気ポート156から分離されている。孔204は、チャンバ本体102を貫通して形成され、第2チャンバ106から分離され、第1チャンバ104(図2に図示せず)内に延びている。シャフト202が孔204内に配置され、これによって後述するようにリフトアセンブリの高さを制御する。
図3は、第1チャンバ104を通るチャンバ本体102の断面図である。リフトアセンブリ302が第1チャンバ104内に配置されている。リフトアセンブリ302は、ブラケット308によってシャフト202に結合されたフープ304を含む。リフトアセンブリ302は、フープ304から半径方向内側に延びる複数のフィンガー310を更に含む。フィンガー310は、フープ304の下方に距離をあけて配置され、ロボット(図示せず)によるフィンガー310上の基板の取得及び配置を可能にする。複数のフィンガー310は、基板支持体120内に形成された複数のノッチ312と揃っている。フィンガー310は、リフトアセンブリ302がシャフト202に結合されたアクチュエータ(図示せず)によって下降するとき、上に配置された基板を基板支持体120上にセットする。フィンガー310が下降位置にある間は、基板はフィンガー310の無い基板支持体120上にある。フープ304は、フィンガー310が基板を基板支持体120からポート110と整列した高さまで持ち上げて、ロボットによる基板搬送を促進するように上昇することができる。
図3に示されるように、第1排気ポート136は、第1チャンバ104に流体結合されている。点線で示される第2排気ポート156は、ポートが第1チャンバ104及び第1排気ポート136から分離されるように、チャンバ本体102を貫通して形成されている。
図4は、本発明の一実施形態に係るチャンバ本体102の概略図である。チャンバ本体102は、それぞれ排気コンジット138、158を介してポンプ144に結合された第1及び第2チャンバ104、106を含む。排気コンジット138、158を通るガス流は、排気コンジット内に配置された弁によって制御することができる。図4に示されるように、スロットルバルブ402が第1排気コンジット138内に配置され、これによって第1排気コンジット138を通って第1チャンバ104から出るガス流を選択的に増加又は減少させる。遮断弁404がスロットルバルブ402の下流に配置され、これによって第1排気コンジット138を通る流れを選択的に閉じ、(必要な場合に、フォアライン142及びポンプ144から)第1チャンバ104を分離する。同様に、スロットルバルブ406が第2排気コンジット138内に配置され、これによって第2チャンバ104から出るガス流を選択的に制御する。遮断弁408がスロットルバルブ406の下流に配置され、これによって(必要な場合に、フォアライン142及びポンプ144から)第2チャンバ106を分離する。
図5は、1以上のポンプを有するものとして上述したポンピングシステム144の代替実施形態の部分概略図である。図5に示されるポンピングシステム144は、フォアライン142に並列に結合された複数のポンプを含む。ポンピングシステム144は、フォアライン142に結合された第1ポンプ510を含む。第2ポンプ510は、コネクタ504によってフォアライン142に流体結合される。コネクタ504は、フォアライン142のティー502に結合された第1端部512、オプションで(504として仮想線で示される)追加のコネクタに結合される第2端部514、及び第2ポンプ510に結合された第3端部516を含む。(510として仮想線で示される)1以上の追加のポンプは、他の第2端部514に接続された第1端部512及び第3端部516を有する1以上のコネクタ504を用いて接合してもよいことが理解される。エンドキャップ506がコネクタ504の最後の第2端部514に結合され、これによって一連のコネクタ504を終了する。
図6は、1つのポンピングシステム144によって複数のチャンバが動作するシステム600の正面概略図である。システム600は、概して、最終フォアライン142によってポンピングシステム144に接続された複数の不平衡チャンバ群602、...、602を含む。各不平衡チャンバ群は、各々が異なるポンピング要件を有する少なくとも2つの真空チャンバを含む。チャンバのすべてのグループ602、602を単一の最終フォアライン142に結合できるようにするために、個々のチャンバの排気コンジットに結合された各共通排気部604、604のコンダクタンスは、共通のフォアライン142に最終的に結合される各チャンバ群の異なる流れ要件に対応するように選択される。一実施形態では、2つの不平衡群602、602は、共通排気部604及び604に結合された各排気コンジット138、158及び138、158を有することができる。各共通排気部604及び604は、共通のフォアライン142に結合される。一実施形態では、それぞれのコンジットのペア138、138、158、158と、排気部604、604のコンダクタンスは等しい。例えば、排気コンジット138、158の合計コンダクタンスは、共通排気コンジット604のコンダクタンスに等しい。同様に、排気コンジット138、158の合計コンダクタンスは、共通排気コンジット604のコンダクタンスに等しい。あるいはまた、排気部604、604のコンダクタンスは異なっており、単一の最終フォアライン142に結合されたポンピングシステム144の1以上のポンプの使用によって、少なくとも2つのチャンバを提供可能にするポンピング要件のバランスをとるように選択することができる。
図7は、1つのポンピングシステム144によって複数のチャンバが使用可能とされるシステム700の別の一実施形態を示す。システム700は、高コンダクタンス排気コンジット138、138が、共通の高コンダクタンス共通排気部706に結合され、その後、フォアライン142によってポンピングシステム144に結合され、そして低コンダクタンス排気コンジット158、158が、共通の低コンダクタンス排気部702に結合されている以外は、上述したシステム600と実質的に同様である。低コンダクタンス排気部702は、リジングライン704によって高コンダクタンス共通排気部706のうちの1つに又は直接フォアライン142に結合されている。一実施形態では、リジングコンジット704及びフォアライン142のうちの少なくとも一方又は両方の間の接続は、共通排気部702、706を対称的に分割し、これによってチャンバ104、104、106、106間を通過する排気は、フォアライン142と高コンダクタンス共通排気部706の交点を通って画定される対称線708に対して対称的にバランスが取れる。
本発明は、有利にモジュール化されたポンプシステムを有する処理システムを提供する。単一のフォアラインに結合されたポンピングシステム内で1以上のポンプを使用して、異なるポンピング要件を有する少なくとも2つのチャンバを利用可能にできることが理解される。すべてのチャンバを利用可能にする単一のフォアラインの使用は、有利なことに、システムのコスト及び複雑さを低減し、より小さい設置面積を提供する。システムは、異なるチャンバ間でコンダクタンスのバランスを取り、高・低コンダクタンスコンジットは、単一のフォアラインに接続され、これによって最小限のコスト及び空間効果をもつチャンバ内で、異なる処理及び機能を実施可能にする。また、排気コンジット及び高コンダクタンスコンジットを有するフォアラインは、小さな設置面積を維持するために、チャンバ本体の空中の下の範囲に閉じ込められる。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (16)

  1. 第1基板搬送チャンバを第2基板搬送チャンバから分離したチャンバ本体と、
    真空ポンプと、
    ポンプに結合された高コンダクタンスフォアラインと、
    第1基板搬送チャンバにフォアラインを結合する高コンダクタンスポンピングコンジットと、
    第2基板搬送チャンバにフォアラインを結合する低コンダクタンスポンピングコンジットを含む基板処理システム。
  2. 高コンダクタンスフォアラインに結合された第2真空ポンプを含む請求項1記載のシステム。
  3. 各基板搬送チャンバは2つの基板搬送ポートを有する請求項1記載のシステム。
  4. 第1基板搬送チャンバ内に配置されたシャワーヘッドを含む請求項1記載のシステム。
  5. 第1基板搬送チャンバがリモートプラズマソースに結合される請求項1記載のシステム。
  6. 第1基板搬送チャンバ及び第2基板搬送チャンバが内部に形成されたチャンバ本体であって、第1基板搬送チャンバが第2基板搬送チャンバから分離されたチャンバ本体と、
    真空ポンプと、
    ポンプに結合された高コンダクタンスフォアラインと、
    第1基板搬送チャンバにフォアラインを結合する高コンダクタンスポンピングコンジットと、
    第2基板搬送チャンバにフォアラインを結合する低コンダクタンスポンピングコンジットを含む基板処理システム。
  7. 各基板搬送チャンバは2つの基板搬送ポートを有する請求項6記載のシステム。
  8. 第1基板搬送チャンバ内に配置されたシャワーヘッドを含む請求項6記載のシステム。
  9. 高コンダクタンスフォアラインに結合された第2真空ポンプを含む請求項6記載のシステム。
  10. 第1基板搬送チャンバを第2基板搬送チャンバから分離した第1チャンバ本体と、
    第3基板搬送チャンバを第4基板搬送チャンバから分離した第2チャンバ本体と、
    真空ポンプと、
    ポンプに結合された高コンダクタンスフォアラインと、
    高コンダクタンスフォアラインに結合された高コンダクタンス共通排気部と、
    第1基板搬送チャンバに高コンダクタンス共通排気部を結合する第1高コンダクタンスポンピングコンジットと、
    第3基板搬送チャンバに高コンダクタンス共通排気部を結合する第2高コンダクタンスポンピングコンジットと、
    高コンダクタンスフォアラインに結合された低コンダクタンス共通排気部と、
    第2基板搬送チャンバに低コンダクタンス共通排気部を結合する第1低コンダクタンスポンピングコンジットと、
    第4基板搬送チャンバに低コンダクタンス共通排気部を結合する第2低コンダクタンスポンピングコンジットを含む基板処理システム。
  11. 第1及び第2高コンダクタンスポンピングコンジットは等しいコンダクタンスを有する請求項10記載のシステム。
  12. 第1及び第2高コンダクタンスポンピングコンジットは鏡像配置されている請求項10記載のシステム。
  13. 第1基板搬送チャンバはプラズマ処理チャンバであり、第2基板搬送チャンバはロードロックチャンバである請求項10記載のシステム。
  14. 高コンダクタンスフォアラインに結合された第2ポンプを含む請求項10記載のシステム。
  15. 第1及び第2高コンダクタンスポンピングコンジットは、ベローズによって高コンダクタンスフォアラインに結合される請求項10記載のシステム。
  16. 各基板搬送チャンバは、2つの基板搬送ポートを有する請求項10記載のシステム。
JP2013556825A 2011-03-01 2012-02-29 共有ポンプを備えた真空チャンバ Active JP6034311B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161448024P 2011-03-01 2011-03-01
US61/448,024 2011-03-01
PCT/US2012/027099 WO2012118886A2 (en) 2011-03-01 2012-02-29 Vacuum chambers with shared pump

Publications (2)

Publication Number Publication Date
JP2014512672A true JP2014512672A (ja) 2014-05-22
JP6034311B2 JP6034311B2 (ja) 2016-11-30

Family

ID=46752554

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013556825A Active JP6034311B2 (ja) 2011-03-01 2012-02-29 共有ポンプを備えた真空チャンバ

Country Status (6)

Country Link
US (1) US20120222813A1 (ja)
JP (1) JP6034311B2 (ja)
KR (1) KR101847026B1 (ja)
CN (2) CN107164742B (ja)
TW (1) TWI611498B (ja)
WO (1) WO2012118886A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101809041B1 (ko) * 2016-01-20 2017-12-14 주식회사 더셀머트리얼즈 밸브 삽입형 로드락 챔버
JP2019125755A (ja) * 2018-01-19 2019-07-25 株式会社ディスコ 保持装置

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
KR20140068338A (ko) * 2012-11-27 2014-06-09 삼성에스디아이 주식회사 태양전지용 성막 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6026375B2 (ja) * 2013-09-02 2016-11-16 株式会社東芝 半導体装置の製造方法
US9920425B2 (en) * 2014-08-13 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160148314A (ko) * 2015-06-16 2016-12-26 삼성전자주식회사 기판 처리 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR101895404B1 (ko) * 2015-12-29 2018-09-05 세메스 주식회사 기판 처리 장치 및 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101885567B1 (ko) * 2016-07-07 2018-08-07 세메스 주식회사 기판 처리 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180061679A1 (en) * 2016-08-25 2018-03-01 Applied Materials, Inc. Multi chamber processing system with shared vacuum system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) * 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112216586B (zh) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115637420A (zh) * 2022-10-25 2023-01-24 拓荆科技股份有限公司 用于双腔半导体设备的排气管路以及双腔半导体设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06314730A (ja) * 1993-04-28 1994-11-08 Tel Varian Ltd 真空処理装置
JPH09298137A (ja) * 1996-03-08 1997-11-18 Kokusai Electric Co Ltd 基板処理装置
JPH11230036A (ja) * 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
JP2007018771A (ja) * 2005-07-05 2007-01-25 Hideo Sugai プラズマ処理装置及びプラズマ処理方法
JP2009004729A (ja) * 2007-06-20 2009-01-08 Advanced Micro-Fabrication Equipment Inc Asia 多数の処理ステーションを含む結合を除くリアクティブイオンエッチングチャンバ
JP2010199517A (ja) * 2009-02-27 2010-09-09 Intevac Inc 基板搬送処理装置及び方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5583143A (en) * 1978-12-19 1980-06-23 Jeol Ltd Exhaust system for electron beam equipment
GB2220820B (en) * 1988-07-13 1992-07-08 Philips Electronic Associated Telephone line loop current regulator
JP2826479B2 (ja) * 1995-03-31 1998-11-18 山形日本電気株式会社 ガス供給装置及びその操作方法
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US20050189074A1 (en) * 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US20030003696A1 (en) * 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
WO2004010482A1 (en) * 2002-07-19 2004-01-29 Axcelis Technologies, Inc. Dual chamber vacuum processing system
JP4190918B2 (ja) * 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP4885110B2 (ja) * 2007-11-07 2012-02-29 三菱重工業株式会社 試料導入装置及び試料分析システム
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
CN101921999B (zh) * 2009-06-12 2013-11-06 甘志银 多反应腔金属有机物化学气相沉积设备
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06314730A (ja) * 1993-04-28 1994-11-08 Tel Varian Ltd 真空処理装置
JPH09298137A (ja) * 1996-03-08 1997-11-18 Kokusai Electric Co Ltd 基板処理装置
JPH11230036A (ja) * 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
JP2007018771A (ja) * 2005-07-05 2007-01-25 Hideo Sugai プラズマ処理装置及びプラズマ処理方法
JP2009004729A (ja) * 2007-06-20 2009-01-08 Advanced Micro-Fabrication Equipment Inc Asia 多数の処理ステーションを含む結合を除くリアクティブイオンエッチングチャンバ
JP2010199517A (ja) * 2009-02-27 2010-09-09 Intevac Inc 基板搬送処理装置及び方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101809041B1 (ko) * 2016-01-20 2017-12-14 주식회사 더셀머트리얼즈 밸브 삽입형 로드락 챔버
JP2019125755A (ja) * 2018-01-19 2019-07-25 株式会社ディスコ 保持装置
JP7108414B2 (ja) 2018-01-19 2022-07-28 株式会社ディスコ 保持装置

Also Published As

Publication number Publication date
CN107164742A (zh) 2017-09-15
TW201246437A (en) 2012-11-16
CN107164742B (zh) 2020-10-16
CN103370768A (zh) 2013-10-23
KR101847026B1 (ko) 2018-04-09
US20120222813A1 (en) 2012-09-06
KR20140018256A (ko) 2014-02-12
TWI611498B (zh) 2018-01-11
WO2012118886A2 (en) 2012-09-07
CN103370768B (zh) 2017-05-31
JP6034311B2 (ja) 2016-11-30
WO2012118886A3 (en) 2012-11-22

Similar Documents

Publication Publication Date Title
JP6034311B2 (ja) 共有ポンプを備えた真空チャンバ
TWI734023B (zh) 真空設備及其操作方法
TWI693664B (zh) 用於腔室接口的氣體裝置、系統及方法
TWI598455B (zh) 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法
TWI564429B (zh) 真空成膜裝置
TW201812083A (zh) 用於控制氣體流至製程腔室的方法及裝置
KR20160003709A (ko) 반도체 프로세싱 어플리케이션들에 대한 압력 제어기 구성
JP2013530516A5 (ja)
JPH07211761A (ja) 処理装置内の被処理体の搬送方法
US9488315B2 (en) Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
US9530623B2 (en) Process chamber apparatus, systems, and methods for controlling a gas flow pattern
TW201402958A (zh) 電漿處理系統中之共用氣體面板
JP7394203B2 (ja) 基板処理装置
JP2001060578A (ja) 真空処理装置
JP4472005B2 (ja) 真空処理装置及び真空処理方法
KR101267884B1 (ko) 기판 처리 장치
JP2018503265A (ja) 次世代先進プラズマ技術のためのチャンバ本体設計アーキテクチャ
US20230420276A1 (en) Integrated benchtop semiconductor processing cells and semiconductor fabs formed from such cells and semiconductor tool libraries
US11993465B2 (en) Vacuum adsorption module
KR102491903B1 (ko) 기판 또는 웨이퍼를 프로세싱하기 위한 장치
TWI739846B (zh) 用於連續牽引處理的閘閥
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
US10796935B2 (en) Electronic device manufacturing systems, methods, and apparatus for heating substrates and reducing contamination in loadlocks
JP3179683U (ja) 半導体処理装置における処理チャンバーの真空制御装置及びこれを備えた半導体処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160405

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161027

R150 Certificate of patent or registration of utility model

Ref document number: 6034311

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250