KR101847026B1 - 공유된 펌프를 갖는 진공 챔버들 - Google Patents

공유된 펌프를 갖는 진공 챔버들 Download PDF

Info

Publication number
KR101847026B1
KR101847026B1 KR1020137022774A KR20137022774A KR101847026B1 KR 101847026 B1 KR101847026 B1 KR 101847026B1 KR 1020137022774 A KR1020137022774 A KR 1020137022774A KR 20137022774 A KR20137022774 A KR 20137022774A KR 101847026 B1 KR101847026 B1 KR 101847026B1
Authority
KR
South Korea
Prior art keywords
conduit
substrate transfer
conductance
transfer chamber
coupled
Prior art date
Application number
KR1020137022774A
Other languages
English (en)
Other versions
KR20140018256A (ko
Inventor
아니루다 팔
마틴 제프 살리나스
자레드 아흐마드 리
폴 비. 로이터
이마드 요시프
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140018256A publication Critical patent/KR20140018256A/ko
Application granted granted Critical
Publication of KR101847026B1 publication Critical patent/KR101847026B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Abstract

본 발명의 실시예들은 일반적으로 상이한 펌핑 요건들을 가지며 단일 전방 라인을 통해 공유된 펌핑 시스템에 연결되는 진공 프로세싱 챔버들에 관한 것이다. 하나의 실시예에서, 진공 프로세싱 챔버들은 단일 고 컨덕턴스 전방 라인에 결합된 고 컨덕턴스 펌핑 도관 및 저 컨덕턴스 펌핑 도관을 포함한다. 또 다른 실시예에서, 복수의 불균형의 챔버 그룹들이 최종 전방 라인에 의해 공통의 펌핑 시스템에 연결될 수 있다.

Description

공유된 펌프를 갖는 진공 챔버들{VACUUM CHAMBERS WITH SHARED PUMP}
관련 출원들에 대한 상호-참조
본 출원은 2011년 3월 1일자로 출원된 미국 특허 가출원 제61/448,024호의 이익을 주장한다.
분야
본 발명의 실시예들은 일반적으로 상이한 펌핑 요건들을 가지며 단일 전방 라인(foreline)을 통해 펌핑 시스템에 결합되는 진공 챔버들에 관한 것이다.
집적 회로들, 평판 디스플레이들, 및 자기 매체들을 제조하기 위해 이용되는 것들과 같은 진공 프로세싱 도구들에서는, 진공 펌프의 이용을 통해 진공 프로세싱 도구들의 챔버들 내에서 진공 환경이 유지된다. 다양한 진공 프로세싱 챔버들 내에서 수행되는 프로세스들은 상이한 압력 및/또는 펌핑 요건들을 가지므로, 각각의 진공 프로세싱 챔버는 전형적으로 전용 진공 펌프를 가진다. 따라서, 기존에는 상이한 환경들에 고유한 펌핑 요건들을 정확하게 충족시키는 것이 불가능함으로 인해 동일한 펌핑 요건들을 갖는 진공 챔버들 사이에서만 진공 펌프들이 공유된다. 각각의 진공 챔버를 위한 전용 펌프들에 대한 필요성은 하드웨어 비용들과, 다수의 펌프들을 위한 여분의 공간 요건들과 관련된 비용들뿐만 아니라, 시스템의 전체 비용을 증가시킨다.
그러므로, 상이한 펌핑 요건들을 갖는 진공 프로세싱 영역들을 서비스하기 위한 단일 진공 펌프 기능을 갖는 개선된 프로세싱 시스템에 대한 필요성이 있다.
본 발명은 일반적으로 기판들을 프로세싱하기 위한 진공 챔버들에 관한 것이다. 진공 챔버들은 제 2 기판 챔버로부터 격리된 제 1 기판 챔버, 진공 펌프, 및 펌프에 결합된 고 컨덕턴스(high conductance) 전방 라인을 포함한다. 고 컨덕턴스 펌핑 도관은 전방 라인을 제 1 기판 챔버에 결합하고 저 컨덕턴스(low conductance) 펌핑 도관은 전방 라인을 제 2 기판 챔버에 결합한다. 각각의 도관의 컨덕턴스는 단일의 전방 라인에 결합된 단일 펌프(또는 펌프들)를 이용하여 각각의 챔버의 상이한 펌핑 요건들이 충족되도록 선택된다.
본 발명의 또 다른 실시예는 제 1 및 제 2 기판 이송 챔버들을 갖는 챔버 본체를 제공한다. 제 1 기판 이송 챔버는 제 2 기판 이송 챔버로부터 격리된다. 기판 이송 챔버들은 진공 펌프와, 펌프에 결합된 고 컨덕턴스 전방 라인을 더 포함한다. 고 컨덕턴스 펌핑 도관은 전방 라인을 제 1 기판 이송 챔버에 결합하고, 저 컨덕턴스 펌핑 도관은 전방 라인을 제 2 기판 이송 챔버에 결합한다.
본 발명의 또 다른 실시예는 제 2의 제 1 기판 이송 챔버로부터 격리된 제 1 기판 이송 챔버를 갖는 제 1 챔버 본체와, 제 4의 제 1 기판 이송 챔버로부터 격리된 제 3 기판 이송 챔버를 갖는 제 2 챔버 본체를 갖는 시스템을 제공한다. 또한, 시스템은 진공 펌프, 펌프에 결합된 고 컨덕턴스 전방 라인, 고 컨덕턴스 전방 라인을 제 1 기판 이송 챔버에 결합하는 제 1 고 컨덕턴스 펌핑 도관, 및 고 컨덕턴스 전방 라인을 제 3 기판 이송 챔버에 결합하는 제 2 고 컨덕턴스 펌핑 도관을 포함한다. 시스템은 고 컨덕턴스 전방 라인에 결합된 저 컨덕턴스 전방 라인, 저 컨덕턴스 전방 라인을 제 2 기판 이송 챔버에 결합하는 제 1 저 컨덕턴스 펌핑 도관, 및 저 컨덕턴스 전방 라인을 제 4 기판 이송 챔버에 결합하는 제 2 저 컨덕턴스 펌핑 도관을 더 포함한다.
본 발명의 위에서 설명된 특징들이 상세하게 이해될 수 있도록, 위에서 간략하게 요약된 발명의 더욱 구체적인 설명이 실시예들을 참조하여 행해질 수 있고, 그 일부는 첨부 도면들에서 예시된다. 그러나, 본 발명은 다른 동등하게 효과적인 실시예들을 허용할 수 있기 때문에, 첨부된 도면들은 이 발명의 전형적인 실시예들만을 예시하고 있고, 그러므로, 그 범위를 제한하는 것으로 간주되지 않아야 하는 것에 유의해야 한다.
도 1은 발명의 하나의 실시예에 따른 진공 챔버의 전방 단면도이다.
도 2는 도 1의 진공 챔버의 개략적인 단면도이다.
도 3은 도 1의 진공 챔버의 또 다른 단면 평면도이다.
도 4는 발명의 실시예에 따른 펌프 시스템을 갖는 진공 챔버의 개략도이다.
도 5는 도 4의 펌프 시스템의 대안적인 실시예의 부분 개략도이다.
도 6은 다수의 진공 챔버들 및 하나의 펌프 시스템을 갖는 하나의 실시예의 전방 개략도이다.
도 7은 다수의 진공 챔버들 및 하나의 펌프 시스템을 갖는 대안적인 실시예의 전방 개략도이다.
이해를 용이하게 하기 위하여, 가능한 경우, 도면들에 공통적인 동일한 구성요소들을 지시하기 위하여 동일한 참조 번호들이 이용되었다. 하나의 실시예의 구성요소들 및 특징들은 더 이상의 인용 없이 다른 실시예들에서 유익하게 포함될 수 있다는 것을 고려해야 한다.
본 발명은 서로로부터 격리된 복수의 기판 챔버들을 포함하는 기판 진공 프로세싱 시스템을 제공한다. 기판 챔버들이 공통의 진공 펌프를 공유할 수 있도록 선택된 컨덕턴스의 비율을 갖도록 구성된 펌핑 도관들에 의해 기판 챔버들이 진공 펌프에 각각 결합된다.
도 1은 발명의 하나의 실시예에 따른 프로세싱 시스템(100)의 전방 단면도이다. 프로세싱 시스템(100)은 내부 벽(108)에 의해 제 2 챔버(106)로부터 격리된 제 1 챔버(104)를 갖는 챔버 본체(102)를 일반적으로 포함한다. 챔버들(104, 106)은 공통의 챔버 본체(102) 내에 예시되어 있지만, 챔버들(104, 106)은 대안적으로 별개의 본체들 내에 배치될 수 있다. 챔버 본체(102)를 관통하여 형성된 기판 이송 포트(port)들(110)은 제 1 및 제 2 챔버들(104, 106)로의 접근을 제공한다. 챔버 본체(102)에 결합된 도어(door)들(112)은 제 1 및 제 2 챔버들(104, 106)로부터의 기판들의 진입 및 진출을 용이하게 하기 위하여 각각의 기판 이송 포트(110)를 선택적으로 개방 및 폐쇄하도록 동작한다. 팩토리 인터페이스(factory interface)(114)는 챔버 본체(102)의 일 측에 결합된다. 이송 챔버(116)는 챔버 본체(102)의 타 측에 결합된다. 도시되어 있지 않지만, 복수의 프로세싱 챔버들이 기판을 프로세싱하기 위하여 이송 챔버(116)에 결합된다.
하나의 실시예에서, 제 1 챔버(104)는 플라즈마 저감(plasma abatement), 어닐링(annealing), 주입(implant), 애싱(ashing) 또는 다른 플라즈마 프로세싱 챔버의 챔버와 같은 플라즈마 프로세싱 챔버이다. 제 1 챔버(104)는 샤워헤드(showerhead)(118), 기판 지지체(120), 및 히터(heater)(122)를 포함한다. 프로세싱 동안, 히터(122)는 기판 지지체(120)에 의해 제 1 챔버(104) 내에 지지된 기판(124)을 가열한다. 가스 패널(gas panel)(128)은 원격지의 플라즈마 소스(plasma source)(130)를 통한, 그리고 챔버 본체(102)를 관통하여 형성된 가스 입구(gas inlet)(126)를 통해 제 1 챔버(104) 내부로의 프로세스 가스들의 흐름을 제어한다. 기판(124)의 표면을 가로질러 프로세스 가스들을 균등하게 분포시키기 위하여, 가스 입구(126)를 통해 제 1 챔버(104) 내부로 진입하는 프로세스 가스들은 샤워헤드(118)를 관통하여 형성된 복수의 개구(aperture)들(134)을 통해 측방향으로 분배된다. 제 1 챔버(104) 내부의 가스들을 활성화(energize)하기 위하여, RF 파워 소스(power source)(132)는 샤워헤드(118) 및/또는 기판 지지체들(120) 중의 하나 또는 둘 모두에 파워를 공급하도록 제공될 수 있다.
프로세스 가스들이 제 1 챔버(104)로부터 제거되도록 하기 위하여, 제 1 배기 포트(exhaust port)(136)는 챔버 본체(102)를 관통하여 형성된다. 제 1 배기 도관(138)은 제 1 배기 포트(136)를 전방 라인(142)에 결합한다. 전방 라인은 펌핑 시스템(144)에 결합된다. 펌핑 시스템(144)은 하나 이상의 펌프들을 포함할 수 있다. 도 1에 도시된 실시예에서는, 열 팽창 및 더 큰 공차를 허용하기 위하여, 확장가능한 커플링(coupling)(140)이 제 1 배기 도관(138)을 전방 라인(142)에 결합한다. 확장가능한 커플링(140)은 벨로우즈(150) 및 플랜지(flange)들(146, 148)을 일반적으로 포함한다. 플랜지들(146 및 148)은 각각 제 1 배기 도관(138) 및 전방 라인(142)에 밀봉되게 결합된다. 벨로우즈(150)는 밀봉을 악화시키지 않고 플랜지들 사이에서 상대적인 운동을 허용하면서, 플랜지들(146, 148)에 밀봉되게 결합된다.
도시된 실시예에서, 제 2 챔버(106)는 예를 들어, 인접한 챔버들 및/또는 팩토리 인터페이스의 진공 및 대기 환경들 사이에서 기판들을 간단하게 이송하기 위해 이용되는 플라즈마 프로세싱 능력들을 갖지 않는 로드락 챔버(load lock chamber)로서 구성된다. 제 2 챔버(106)는 선택적으로 비-플라즈마(non-plasma) 가열 및/또는 냉각 구성요소들(도시되지 않음)을 가질 수 있다. 제 2 챔버(106)는 제 2 챔버(106) 내부에서 기판(154)을 지지하도록 구성된 복수의 기판 지지체들(152)을 일반적으로 포함한다. 제 2 배기 포트(156)는 챔버 본체(102)를 관통하여 형성되고 제 2 배기 도관(158)에 결합된다. 제 2 배기 도관(158)은 신축성 있는 커플링(flexible coupling)(140)에 의해 전방 라인(142) 및 궁극적으로 펌프(144)에 결합된다. 제 1 및 제 2 챔버들(104, 106)의 펌핑 요건들이 단일 펌핑 시스템(144)에 의해 제공될 수 있도록, 제 1 배기 도관(138) 및 제 2 배기 도관(158)은 상이한 미리 결정된 컨덕턴스를 각각 갖도록 구성된다. 도 1에 도시된 바와 같이, 제 1 챔버(104) 내에서 수행되는 플라즈마 프로세스들에 의해 필요하게 되는 것처럼 더 큰 부피의 가스들이 제 1 챔버(104)로부터 제거되는 것을 허용하기 위하여, 제 1 배기 도관(138)은 고 컨덕턴스를 갖도록 구성된다. 제 2 배기 도관(158)은 제 1 배기 도관(138)의 컨덕턴스에 비해 저 컨덕턴스를 갖도록 구성되어, 제 1 및 제 2 챔버들(104, 106)로부터 펌핑되는 상이한 레이트(rate)들의 가스들이 단일 펌핑 시스템(144)에 의해 단일 전방 라인(142)을 통해 동시에 뽑아질 수 있다.
도 2는 제 2 챔버(106)를 통한 챔버 본체(102)의 단면도이다. 위에서 설명된 바와 같이, 제 2 배기 포트(156)는 제 2 챔버(106)에 유체적으로 결합된다. 추가적으로, 제 1 배기 포트(136)는 챔버 본체(102)를 관통하여 형성되고, 제 2 챔버(106) 및 제 2 배기 포트(156)로부터 격리된다. 구멍(204)은 챔버 본체(102)를 관통하여 형성되고, 제 2 챔버(106)로부터 격리되고, 제 1 챔버(104) 내부로 연장된다(도 2에 도시되지 않음). 아래에서 더욱 설명되는 바와 같이 리프트 어셈블리(lift assembly)의 상승을 제어하기 위하여, 샤프트(shaft)(202)가 구멍(204) 내부에 배치된다.
도 3은 제 1 챔버(104)를 통한 챔버 본체(102)의 단면도이다. 제 1 챔버(104) 내에 배치된 것은 리프트 어셈블리(302)이다. 리프트 어셈블리(302)는 브라켓(bracket)(308)에 의해 샤프트(202)에 결합된 후프(hoop)(304)를 포함한다. 리프트 어셈블리(302)는 후프(304)로부터 방사상으로 내부를 향해 연장되는 복수의 핑거(finger)들(310)을 더 포함한다. 로봇(robot)(도시되지 않음)이 기판을 집어서 핑거들(310) 상에 위치시키는 것을 가능하게 하기 위하여, 핑거들(310)은 후프(304) 아래에 이격된다. 복수의 핑거들(310)은 기판 지지체(120) 내에 형성된 복수의 노치(notch)들(312)과 정렬된다. 리프트 어셈블리(302)가 샤프트(202)에 결합된 액튜에이터(actuator)(도시되지 않음)에 의해 하강됨에 따라, 핑거들(310)은 그 상부에 배치된 기판을 기판 지지체(120) 상에 놓는다. 핑거들(310)이 하강된 위치에 있는 동안, 기판은 핑거들(310)이 없는 기판 지지체(120) 상에 안착한다. 로봇 기판 이송을 용이하게 하기 위하여, 핑거들(310)이 기판을 기판 지지체(120)로부터 포트들(110)과 정렬된 상승 지점으로 들어올리도록, 후프(304)가 상승될 수 있다.
도 3에 도시된 바와 같이, 제 1 배기 포트(136)는 제 1 챔버(104)에 유체적으로 결합된다. 가상선으로 도시된 제 2 배기 포트(156)는 상기 포트가 제 1 챔버(104) 및 제 1 배기 포트(136)로부터 격리되도록 챔버 본체(102)를 관통하여 형성된다.
도 4는 발명의 실시예에 따른 챔버 본체(102)의 개략도이다. 챔버 본체(102)는 각각 배기 도관들(138, 158)을 통해 펌프(144)에 결합된 제 1 및 제 2 챔버들(104, 106)을 포함한다. 배기 도관들(138, 158)을 통한 가스 흐름은 배기 도관들 내부에 배치된 밸브(valve)들에 의해 제어될 수 있다. 도 4에 도시된 바와 같이, 제 1 챔버(104)로부터의 그리고 제 1 배기 도관(138)을 통한 가스들의 흐름을 선택적으로 증가 또는 감소시키기 위하여, 스로틀 밸브(throttle valve)(402)가 제 1 배기 도관(138) 내부에 배치된다. 제 1 배기 도관(138)을 통한 흐름을 선택적으로 폐쇄하고 (요구될 때, 전방 라인(142) 및 펌프(144)로부터) 제 1 챔버(104)를 격리하기 위하여, 격리 밸브(404)는 스로틀 밸브(402)의 하류 측에 배치된다. 유사하게, 제 2 챔버(106)로부터의 가스들의 흐름을 선택적으로 제어하기 위하여, 스로틀 밸브(406)는 제 2 배기 도관(138) 내부에 배치된다. (요구될 때, 전방 라인(142) 및 펌프(144)로부터) 제 2 챔버(106)를 격리하기 위하여, 격리 밸브(408)는 스로틀 밸브(406)의 하류 측에 배치된다.
도 5는 하나 이상의 펌프들을 갖는 것으로 위에서 설명된 펌핑 시스템(144)의 대안적인 실시예의 부분 개략도이다. 도 5에 도시된 펌핑 시스템(144)은 전방 라인(142)에 평행하게 결합된 복수의 펌프들을 포함한다. 펌핑 시스템(144)은 전방 라인(142)에 결합된 제 1 펌프(510)를 포함한다. 제 2 펌프(5101)는 커넥터(504)에 의해 전방 라인(142)에 유체적으로 결합된다. 커넥터(504)는 전방 라인(142)의 티(tee)(502)에 결합된 제 1 단부(512), 추가적인 커넥터(504N으로서 가상선으로 도시됨)에 선택적으로 결합된 제 2 단부(514), 및 제 2 펌프(5101)에 결합된 제 3 단부(516)를 포함한다. 하나 이상의 추가적인 펌프들(510N으로서 가상선으로 도시됨)이 제 3 단부들(516N)과, 다른 제 2 단부들(514N)에 연결된 제 1 단부들(512N)을 갖는 하나 이상의 커넥터들(504N)을 이용하여 합류될 수 있다는 것을 이해해야 한다. 커넥터들(504N)의 스트링(string)을 종단시키기 위하여, 단부 캡(end cap)(506)은 커넥터들(504N) 중의 마지막 커넥터의 제 2 단부(514N)에 결합된다.
도 6은 하나의 시스템(144)을 펌핑함으로써 서비스되는 다수의 챔버들을 갖는 시스템(600)의 전방 개략도이다. 시스템(600)은 최종 전방 라인(142)에 의해 펌핑 시스템(144)에 연결된 복수의 불균형의 챔버 그룹들(602, ..., 602N)을 일반적으로 포함한다. 각각의 불균형의 챔버 그룹은 각각은 상이한 펌핑 요건들을 가지는 적어도 2개의 진공 챔버들을 포함한다. 모든 챔버 그룹들(602, 602N)이 단일 최종 전방 라인(142)에 결합되는 것을 가능하게 하기 위하여, 개별적인 챔버들의 배기 도관에 결합된 각각의 공통 배기관(604, 604N)의 컨덕턴스는 공통의 전방 라인(142)에 궁극적으로 결합되는 각각의 챔버 그룹의 상이한 흐름 요건들을 수용하도록 선택된다. 하나의 실시예에서, 2개의 불균형의 그룹들(602, 602N)은 공통 배기관(604 및 604N)에 결합된 각각의 배기 도관들(138, 158 및 138N, 158N)을 가질 수 있다. 각각의 공통 배기관(604 및 604N)은 공통의 전방 라인(142)에 결합된다. 하나의 실시예에서, 각각의 도관 쌍들(138, 138N, 158, 158N) 및 배기관(604, 604N)의 컨덕턴스는 동일하다. 예를 들어, 배기 도관들(138, 158)의 전체 컨덕턴스는 공통의 배기 도관(604)의 컨덕턴스와 동일하다. 유사하게, 배기 도관들(138N, 158N)의 전체 컨덕턴스는 공통의 배기 도관(604N)의 컨덕턴스와 동일하다. 대안적으로, 단일 최종 전방 라인(142)에 결합된 펌핑 시스템(144)의 하나 이상의 펌프들의 이용이 적어도 2개의 챔버들을 서비스하는 것을 가능하게 하기 위하여, 배기관들(604, 604N)의 컨덕턴스는 상이할 수 있고 펌핑 요건들의 균형을 맞추도록 선택될 수 있다.
도 7은 하나의 펌핑 시스템(144)에 의해 서비스되는 다수의 챔버들을 갖는 시스템(700)의 또 다른 실시예를 도시한다. 각각의 고 컨덕턴스 배기 도관(138, 138N)이 공통의 고 컨덕턴스의 공통 배기관(706)에 결합되고, 결국, 이 공통 배기관(706)은 전방 라인(142)에 의해 펌핑 시스템(144)에 결합되고, 저 컨덕턴스 배기 도관(158, 158N)은 공통의 저 컨덕턴스 배기관(702)에 결합된다는 것을 제외하고는, 시스템(700)은 위에서 설명된 시스템(600)과 실질적으로 유사하다. 저 컨덕턴스 배기관(702)은 리징 라인(ridging line)(704)에 의해 고 컨덕턴스의 공통 배기관(706) 중의 하나에 결합되거나, 전방 라인(142)에 직접 결합된다. 하나의 실시예에서, 전방 라인(142)과 리징 도관(704) 중의 적어도 하나 또는 둘 모두 사이의 연결은 공통 배기관(702, 706)을 대칭적으로 분할하므로, 챔버들(104, 104N, 106, 106N) 사이를 통과한 배기관은 전방 라인(142) 및 고 컨덕턴스의 공통 배기관(706)의 교차를 통해 정의되는 대칭 라인(708)에 대해 대칭적으로 균형이 맞추어진다.
본 발명은 유익하게 모듈형인 펌프 시스템을 갖는 프로세싱 시스템을 제공한다. 상이한 펌핑 요건들을 갖는 적어도 2개의 챔버들을 충족시키기 위해 단일 전방 라인에 결합된 펌핑 시스템 내의 하나 이상의 펌프들을 이용할 수 있다는 것이 고려된다. 모든 챔버들을 충족시키는 단일 전방 라인의 이용은 유익하게도, 시스템의 비용 및 복잡성을 감소시키고 더 작은 점유 공간을 제공한다. 상이한 프로세스들 및 기능들이 최소의 비용 및 공간 영향으로 챔버들 내에서 수행되도록 하기 위하여 고 저 컨덕턴스 도관들이 단일 전방 라인에 연결하는 상이한 챔버들 사이에서 시스템은 컨덕턴스의 균형을 맞춘다. 또한, 고 컨덕턴스 도관을 갖는 전방 라인 및 배기 도관들은 작은 점유 공간을 유지하기 위하여 챔버 본체의 면적 범위 아래에 한정된다.
상기한 것은 본 발명의 실시예들에 대한 것이지만, 발명의 다른 그리고 더 이상의 실시예들이 그 기본 범위로부터 이탈하지 않으면서 고안될 수 있고, 그 범위는 뒤따르는 청구항들에 의해 결정된다.

Claims (16)

  1. 기판들을 프로세싱하기 위한 시스템으로서,
    제 2 기판 이송 챔버로부터 격리된 제 1 기판 이송 챔버를 갖는 챔버 본체로서, 상기 제 1 기판 이송 챔버는 상기 제 2 기판 이송 챔버 위에 수직으로 배치되는, 상기 챔버 본체;
    단일 전방 라인(foreline)을 통해 상기 제 1 및 상기 제 2 기판 이송 챔버에 결합된 진공 펌프;
    제 1 도관을 갖는 고 컨덕턴스(high conductance) 펌핑 도관으로서, 상기 제 1 도관은 제 1 단부 및 제 2 단부를 가지며, 상기 제 1 도관은 제 1 도관 직경을 가지고, 상기 제 1 도관의 상기 제 2 단부는 상기 제 1 기판 이송 챔버에 직접적으로 결합되는, 상기 고 컨덕턴스 펌핑 도관; 및
    제 2 도관을 갖는 저 컨덕턴스(low conductance) 펌핑 도관으로서, 상기 제 2 도관은 상부 및 하부 단부를 가지며, 상기 저 컨덕턴스 펌핑 도관의 상기 제 2 도관은 상기 제 1 직경보다 더 작은 제 2 직경을 가지고, 상기 제 1 도관의 상기 제 1 단부 및 상기 제 2 도관의 상기 하부 단부는 상기 단일 전방 라인의 제 1 단부에 연결되며, 상기 제 2 도관의 상기 상부 단부는 상기 제 2 기판 이송 챔버에 직접적으로 결합되고, 상기 고 컨덕턴스 펌핑 도관 및 상기 저 컨덕턴스 펌핑 도관은 상기 진공 펌프에 직접적으로 결합된 동일한 상기 단일 전방 라인을 공유하며, 상기 전방 라인은 상기 진공 펌프에 결합된 제 2 단부를 갖는, 상기 저 컨덕턴스 펌핑 도관을 포함하는, 기판들을 프로세싱하기 위한 시스템.
  2. 청구항 1에 있어서,
    상기 고 컨덕턴스 전방 라인에 결합된 제 2 진공 펌프를 더 포함하는, 기판들을 프로세싱하기 위한 시스템.
  3. 청구항 1에 있어서,
    각각의 기판 이송 챔버는 2개의 기판 이송 포트들을 가지는, 기판들을 프로세싱하기 위한 시스템.
  4. 청구항 1에 있어서,
    상기 제 1 기판 이송 챔버 내에 배치된 샤워헤드를 더 포함하는, 기판들을 프로세싱하기 위한 시스템.
  5. 삭제
  6. 기판들을 프로세싱하기 위한 시스템으로서,
    내부에 형성된 제 1 기판 이송 챔버 및 제 2 기판 이송 챔버를 갖는 챔버 본체로서, 상기 제 1 기판 이송 챔버는 상기 제 2 기판 이송 챔버로부터 격리되고 상기 제 2 기판 이송 챔버 상에 수직으로 배치되는, 상기 챔버 본체;
    단일 전방 라인을 통해 상기 제 1 및 상기 제 2 기판 이송 챔버에 결합된 진공 펌프;
    제 1 도관을 갖는 고 컨덕턴스 펌핑 도관으로서, 상기 제 1 도관은 제 1 단부 및 제 2 단부를 가지며, 상기 제 1 도관은 제 1 도관 직경을 가지고, 상기 제 1 도관의 상기 제 2 단부는 상기 제 1 기판 이송 챔버에 직접적으로 결합되는, 상기 고 컨덕턴스 펌핑 도관; 및
    제 2 도관을 갖는 저 컨덕턴스 펌핑 도관으로서, 상기 제 2 도관은 상부 및 하부 단부를 가지며, 상기 저 컨덕턴스 펌핑 도관의 상기 제 2 도관은 상기 제 1 직경보다 더 작은 제 2 직경을 가지고, 상기 제 1 도관의 상기 제 1 단부 및 상기 제 2 도관의 상기 하부 단부는 상기 단일 전방 라인의 제 1 단부에 연결되며, 상기 제 2 도관의 상기 상부 단부는 상기 제 2 기판 이송 챔버에 직접적으로 결합되고, 상기 고 컨덕턴스 펌핑 도관 및 상기 저 컨덕턴스 펌핑 도관은 상기 진공 펌프에 직접적으로 결합된 동일한 상기 단일 전방 라인을 공유하며, 상기 전방 라인은 상기 진공 펌프에 결합된 제 2 단부를 갖는, 상기 저 컨덕턴스 펌핑 도관을 포함하는, 기판들을 프로세싱하기 위한 시스템.
  7. 청구항 6에 있어서,
    각각의 기판 이송 챔버는 2개의 기판 이송 포트들을 가지는, 기판들을 프로세싱하기 위한 시스템.
  8. 청구항 6에 있어서,
    상기 제 1 기판 이송 챔버 내에 배치된 샤워헤드를 더 포함하는, 기판들을 프로세싱하기 위한 시스템.
  9. 청구항 6에 있어서,
    상기 고 컨덕턴스 전방 라인에 결합된 제 2 진공 펌프를 더 포함하는, 기판들을 프로세싱하기 위한 시스템.
  10. 기판들을 프로세싱하기 위한 시스템으로서,
    제 2 기판 이송 챔버로부터 격리되며 상기 제 2 기판 이송 챔버 위에 수직으로 배치되는 제 1 기판 이송 챔버를 갖는 제 1 챔버 본체;
    제 4 기판 이송 챔버로부터 격리되며 상기 제 4 기판 이송 챔버 위에 수직으로 배치되는 제 3 기판 이송 챔버를 갖는 제 2 챔버 본체;
    단일 전방 라인을 통해 상기 제 1 및 상기 제 2 본체들에 결합된 진공 펌프;
    상기 단일 전방 라인에 결합된 고 컨덕턴스 공통 배기관;
    상기 고 컨덕턴스 공통 배기관을 상기 제 1 기판 이송 챔버에 직접적으로 결합하는, 제 1 도관 직경을 갖는 제 1 고 컨덕턴스 펌핑 도관;
    상기 고 컨덕턴스 공통 배기관을 상기 제 3 기판 이송 챔버에 직접적으로 결합하는, 상기 제 1 도관 직경보다 더 작은 제 2 도관 직경을 갖는 제 2 고 컨덕턴스 펌핑 도관;
    상기 단일 전방 라인에 결합된 저 컨덕턴스 공통 배기관;
    상기 저 컨덕턴스 공통 배기관을 상기 제 2 기판 이송 챔버에 직접적으로 결합하는 제 1 저 컨덕턴스 펌핑 도관; 및
    상기 저 컨덕턴스 공통 배기관을 상기 제 4 기판 이송 챔버에 직접적으로 결합하는 제 2 저 컨덕턴스 펌핑 도관으로서, 상기 고 컨덕턴스 공통 배기관 및 상기 상기 저 컨덕턴스 공통 배기관은 상기 진공 펌프에 직접적으로 결합된 동일한 상기 단일 전방 라인을 공유하며, 상기 제 1 및 제 2 고 컨덕턴스 펌핑 도관들 둘 모두는 상기 고 컨덕턴스 공통 배기관을 통해 상기 단일 전방 라인의 제 1 단부에 연결되고, 상기 제 1 및 제 2 저 컨덕턴스 펌핑 도관들 둘 모두는 상기 저 컨덕턴스 공통 배기관을 통해 상기 단일 전방 라인의 제 1 단부에 연결되며, 상기 단일 전방 라인은 상기 진공 펌프에 결합된 제 2 단부를 더 포함하는, 상기 제 2 저 컨덕턴스 펌핑 도관을 포함하는, 기판들을 프로세싱하기 위한 시스템.
  11. 청구항 10에 있어서,
    상기 제 1 및 제 2 고 컨덕턴스 펌핑 도관들은 동일한 컨덕턴스를 가지는, 기판들을 프로세싱하기 위한 시스템.
  12. 청구항 10에 있어서,
    상기 제 1 및 제 2 고 컨덕턴스 펌핑 도관들은 거울상(mirror image)으로 배열되는, 기판들을 프로세싱하기 위한 시스템.
  13. 청구항 10에 있어서,
    상기 제 1 기판 이송 챔버는 플라즈마 프로세싱 챔버이고, 상기 제 2 기판 이송 챔버는 로드락 챔버인, 기판들을 프로세싱하기 위한 시스템.
  14. 청구항 10에 있어서,
    상기 고 컨덕턴스 전방 라인에 결합된 제 2 펌프를 더 포함하는, 기판들을 프로세싱하기 위한 시스템.
  15. 청구항 10에 있어서,
    상기 제 1 및 제 2 고 컨덕턴스 펌핑 도관들은 벨로우즈(bellows)에 의해 상기 고 컨덕턴스 전방 라인에 결합되는, 기판들을 프로세싱하기 위한 시스템.
  16. 청구항 10에 있어서,
    각각의 기판 이송 챔버는 2개의 기판 이송 포트들을 가지는, 기판들을 프로세싱하기 위한 시스템.
KR1020137022774A 2011-03-01 2012-02-29 공유된 펌프를 갖는 진공 챔버들 KR101847026B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161448024P 2011-03-01 2011-03-01
US61/448,024 2011-03-01
PCT/US2012/027099 WO2012118886A2 (en) 2011-03-01 2012-02-29 Vacuum chambers with shared pump

Publications (2)

Publication Number Publication Date
KR20140018256A KR20140018256A (ko) 2014-02-12
KR101847026B1 true KR101847026B1 (ko) 2018-04-09

Family

ID=46752554

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137022774A KR101847026B1 (ko) 2011-03-01 2012-02-29 공유된 펌프를 갖는 진공 챔버들

Country Status (6)

Country Link
US (1) US20120222813A1 (ko)
JP (1) JP6034311B2 (ko)
KR (1) KR101847026B1 (ko)
CN (2) CN107164742B (ko)
TW (1) TWI611498B (ko)
WO (1) WO2012118886A2 (ko)

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101895307B1 (ko) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
KR20140068338A (ko) * 2012-11-27 2014-06-09 삼성에스디아이 주식회사 태양전지용 성막 장치
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6026375B2 (ja) * 2013-09-02 2016-11-16 株式会社東芝 半導体装置の製造方法
US9920425B2 (en) * 2014-08-13 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160148314A (ko) * 2015-06-16 2016-12-26 삼성전자주식회사 기판 처리 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR101895404B1 (ko) * 2015-12-29 2018-09-05 세메스 주식회사 기판 처리 장치 및 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101809041B1 (ko) * 2016-01-20 2017-12-14 주식회사 더셀머트리얼즈 밸브 삽입형 로드락 챔버
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101885567B1 (ko) * 2016-07-07 2018-08-07 세메스 주식회사 기판 처리 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180061679A1 (en) * 2016-08-25 2018-03-01 Applied Materials, Inc. Multi chamber processing system with shared vacuum system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
JP7108414B2 (ja) * 2018-01-19 2022-07-28 株式会社ディスコ 保持装置
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210102337A (ko) * 2019-01-08 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 펌핑 장치 및 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) * 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112216586B (zh) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US20220170151A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Actively cooled foreline trap to reduce throttle valve drift
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007018771A (ja) * 2005-07-05 2007-01-25 Hideo Sugai プラズマ処理装置及びプラズマ処理方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5583143A (en) * 1978-12-19 1980-06-23 Jeol Ltd Exhaust system for electron beam equipment
GB2220820B (en) * 1988-07-13 1992-07-08 Philips Electronic Associated Telephone line loop current regulator
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
JP2826479B2 (ja) * 1995-03-31 1998-11-18 山形日本電気株式会社 ガス供給装置及びその操作方法
JP3361955B2 (ja) * 1996-03-08 2003-01-07 株式会社日立国際電気 基板処理装置および基板処理方法
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
JPH11230036A (ja) * 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
US20050189074A1 (en) * 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US20030003696A1 (en) * 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
US20040089227A1 (en) * 2002-07-19 2004-05-13 Albert Wang Dual chamber vacuum processing system
JP4190918B2 (ja) * 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP4885110B2 (ja) * 2007-11-07 2012-02-29 三菱重工業株式会社 試料導入装置及び試料分析システム
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
JP5388279B2 (ja) * 2009-02-27 2014-01-15 インテバック・インコーポレイテッド 基板搬送処理装置及び方法
US8623141B2 (en) * 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
CN101921999B (zh) * 2009-06-12 2013-11-06 甘志银 多反应腔金属有机物化学气相沉积设备
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007018771A (ja) * 2005-07-05 2007-01-25 Hideo Sugai プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
KR20140018256A (ko) 2014-02-12
JP6034311B2 (ja) 2016-11-30
CN107164742A (zh) 2017-09-15
JP2014512672A (ja) 2014-05-22
TW201246437A (en) 2012-11-16
WO2012118886A2 (en) 2012-09-07
CN103370768A (zh) 2013-10-23
TWI611498B (zh) 2018-01-11
US20120222813A1 (en) 2012-09-06
CN107164742B (zh) 2020-10-16
CN103370768B (zh) 2017-05-31
WO2012118886A3 (en) 2012-11-22

Similar Documents

Publication Publication Date Title
KR101847026B1 (ko) 공유된 펌프를 갖는 진공 챔버들
KR20150013085A (ko) 개선된 플루오르 활용 및 통합된 대칭적 전방선을 위한 페데스탈 하단 세정
US9471065B2 (en) Integrated type gas supplying apparatus
TWI748049B (zh) 用於多壓力建制的使用同心泵送的設備
US9429248B2 (en) Process chamber gas flow apparatus, systems, and methods
JP5549552B2 (ja) 真空処理装置の組み立て方法及び真空処理装置
WO2013148473A1 (en) Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US20130255781A1 (en) Shared gas panels in plasma processing systems
US9488315B2 (en) Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
JP2024009266A (ja) 基板処理装置
US20160215883A1 (en) Chamber body design architecture for next generation advanced plasma technology
US6523563B2 (en) Modular gas panel closet for a semiconductor wafer processing platform
US20210147161A1 (en) Vacuum adsorption module
JP2023535091A (ja) モジュール式フォアラインシステム
KR102491903B1 (ko) 기판 또는 웨이퍼를 프로세싱하기 위한 장치
CN109075109A (zh) 全区域逆流热交换基板支撑件
TWI739846B (zh) 用於連續牽引處理的閘閥
TW202410260A (zh) 高傳導性分流管道架構
CN114270088A (zh) 用于调整径向和角度偏移的密封接合件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant